Xilinx FPGA设计与实践教程 教学课件 ppt 作者 赵吉成 第1-5章 第2章

上传人:E**** 文档编号:89376285 上传时间:2019-05-24 格式:PPT 页数:104 大小:2.24MB
返回 下载 相关 举报
Xilinx FPGA设计与实践教程 教学课件 ppt 作者 赵吉成 第1-5章 第2章_第1页
第1页 / 共104页
Xilinx FPGA设计与实践教程 教学课件 ppt 作者 赵吉成 第1-5章 第2章_第2页
第2页 / 共104页
Xilinx FPGA设计与实践教程 教学课件 ppt 作者 赵吉成 第1-5章 第2章_第3页
第3页 / 共104页
Xilinx FPGA设计与实践教程 教学课件 ppt 作者 赵吉成 第1-5章 第2章_第4页
第4页 / 共104页
Xilinx FPGA设计与实践教程 教学课件 ppt 作者 赵吉成 第1-5章 第2章_第5页
第5页 / 共104页
点击查看更多>>
资源描述

《Xilinx FPGA设计与实践教程 教学课件 ppt 作者 赵吉成 第1-5章 第2章》由会员分享,可在线阅读,更多相关《Xilinx FPGA设计与实践教程 教学课件 ppt 作者 赵吉成 第1-5章 第2章(104页珍藏版)》请在金锄头文库上搜索。

1、第二章 ISE12.1开发环境与S3开发板,2.1 ISE12.1软件综述 2.2 S3开发板简介 2.3 ISE开发流程 2.4 第三方开发工具 本章小结,2.1 ISE12.1软件综述 2.1.1 ISE12.1套件分类 除了性能上的改进之外,ISE12.1设计套件依然延续了在ISE11.1中提供的针对四个特定领域而优化配置版本的解决方案:逻辑版本(Logic Edition)、DSP版本(DSP Edition)、嵌入式版本(Embedded Edition)和系统版本(System Edition)。 每一版本都提供了完整的FPGA设计流程,并且专门针对特定的用户群体(工程师)和特定领

2、域的设计方法及设计环境要求进行了优化,从而使设计人员能够将更多精力集中于开发具有竞争力的差异化产品和应用。这4种版本的功能分别如下:,(1) ISE设计套件逻辑版本针对采用赛灵思基础目标设计平台,主要关注逻辑和连接功能。 (2) ISE设计套件DSP版本针对采用赛灵思DSP领域目标设计平台,主要面向算法、系统和硬件的设计人员而优化。 (3) ISE设计套件嵌入式版本针对采用赛灵思嵌入式领域目标设计平台的嵌入式系统设计人员(硬件和软件设计师)而优化。 (4) ISE设计套件系统版本针对采用赛灵思连接领域目标设计平台的系统设计人员而优化。,2.1.2 ISE12.1功能介绍 ISE12.1工具涵盖

3、了整个FPGA开发流程,包括了设计输入、综合、仿真、实现以及下载各个步骤。采用ISE集成环境可以独立完成整个Xilinx FPGA的开发,而无须借助其他第三方开发工具。 (1) 设计输入:ISE12.1提供的设计输入工具包括HDL代码的输入,原理图编辑工具,用于IP Core的Core Generator,以及用于约束文件编辑的Constraints Editor等软件。 (2) 综合:ISE12.1自带的综合工具为XST,还可以与业界非常优秀的综合工具Mentor Graphic公司的Leonardo Spectrum和Synplicity公司的Synplify实现无缝链接。,(3) 仿真:

4、ISE12.1自带ISim仿真工具,同时提供使用Mentor Graphic公司的ModelSim各个版本的仿真接口。 (4) 实现:包括对综合文件的翻译、映射、布局布线等,还包括时序分析、增量设计、手动布局约束等高级功能。 (5) 下载:包括生成bit 流文件,还包括一个专用的下载软件IMPACT,可以进行设备通信和配置,并将程序烧写到FPGA芯片中去。 使用ISE进行FPGA设计的各个过程可能涉及的工具如表2-1所示。,2.1.3 ISE12.1用户界面和菜单操作 ISE用户界面如图2-1所示。界面各分区及功能如下: (1) 标题栏:主要显示当前工程的名称和当前打开的文件名称。 (2) 菜

5、单栏:主要包括“文件(File)”、“编辑(Edit)”、“视图(View)”、“工程(Project)”、“源文件(Source)”、“操作(Porcess)”、“工具(Tools)”、“窗口(Window)”、“布局(Layout)”和“帮助(Help)”等10个下拉菜单。其使用方法和常用的Windows软件类似。 (3) 工具栏:为方便用户操作而提供的常用命令快捷键。随着版本升级,提供的快捷键越来越多。,(4) 设计管理区:提供工程以及相关文件的显示和管理功能,包括设计源文件视图和仿真源文件视图。源文件视图显示了源文件的层次和分类关系。 (5) 过程管理区:本窗口显示的内容取决于工程管理

6、区中所选定的文件,相关的操作和FPGA设计的流程相关,不仅显示当前进行的步骤,而且还用动态图标的方式显示当前的操作。,图2-1 ISE用户界面,(6) 信息显示区:显示ISE中的处理信息,如操作步骤信息、告警信息和错误信息等,信息显示区的下面有控制台信息区(Console)和文件查找区(Find in Files Results)。如果编译过程出现错误,双击信息显示区的告警和错误标志,就能自动切换到源代码出错的地方。,2.2 S3开发板简介 Digilent S3开发板是基于Spartan-3系列FPGA(XC3S200)所开发的一款FPGA入门级学习与验证板,包含丰富的外围接口,是初学者学习

7、数字电路设计的良好平台。其外观图如图2-2所示。结构框图如图2-3所示。其主要器件以及包含的接口如下: (1) Xilinx Spartan-3 SC3S200 FPGA器件(XC3S200-FT256); (2) 2 Mb的Xilinx XCF02S配置PROM; (3) 2个256K 16异步静态SRAM(ISSI IS61LV25616AL-10T); (4) VGA显示端口; (5) RS232串口; (6) PS/2鼠标键盘接口;,(7) 4位7段数码管; (8) 8个拨码开关; (9) 50 MHz晶振的时钟输入; (10) 3个40脚的外扩插槽; (11) JTAG下载接口; (

8、12) 3.3 V、2.5 V、1.2 V的稳压电源。,图2-2 Spartan-3FPGA开发板外观图,图2-3 Spartan-3 FPGA开发板结构框图,2.3 ISE开发流程 ISE12.1包含了一系列的开发工具。这些工具不在本书介绍范围,这里仅通过一个简单的实例,结合1.5节FPGA 的开发流程来描述FPGA的整个开发流程,帮助读者理解FPGA的基本开发步骤。具体包含以下5步: (1) 创建工程和设计输入; (2) 创建TestBech并进行RTL仿真; (3) 添加约束; (4) 综合与实现; (5) 生成配置文件并对FPGA进行配置。,【程序2-1】 带使能控制的计数器。 mod

9、ule Count_EN #( parameter Width = 8, parameter U_DLY = 1 ) ( input wire EN, input wire Clock, input wire reset, output reg Width-1:0 Out); always(posedge Clock, negedge reset) if(!reset) Out = 8b0; else if (EN ) Out = #U_DLY Out +1; endmodule,2.3.1 创建工程和设计输入 本阶段包含三个任务:创建工程目录、创建工程、添加或创建HDL文件输入。 1创建工程

10、目录 规范的FPGA设计在建立工程之前,首先要求进行项目文件管理规划。清晰的文件目录有助于提高设计效率和避免错误的发生。建立的一个清晰的工程目录如下: (1) project name:工程名称,在这里建立一个Count_EN的目录来存放工程所有相关文件; (2) scr:存放源代码目录; (3) coregen:CoreGenerator工具产生的各种IP文件; (4) sim:存放仿真相关文件,funcsim:目录存放与功能仿真相关文件,parsim:目录存放与时序仿真相关文件; (5) doc:存放FPGA相关设计文档。,2创建工程 ISE软件每次打开时,会默认列出最近几次打开的工程目录

11、,方便用户直接双击打开。如果用户需要新建工程,那么按照下面的步骤来进行:选择“File | New Project”选项,在弹出的新建工程对话框中填写如下几项:“Project Name”中填写工程名称,“Browse”中指定项目存放的路径,“Top-Level Source Type”选项中选择工程顶层源代码的类型。,关于输入文件类型有如下几个选项: (1) HDL:表示工程顶层源代码为vhdl或者Verilog代码形式; (2) Schematic:表示工程顶层源代码为原理图形式; (3) EDIF:表示工程源代码是由Symplify 综合工具综合之后的网表文件,后缀是 .edf文件; (

12、4) NGC/NGO:表示工程源代码是ISE自带的XST综合工具产生的网表文件。,在本例中,将“Project Name”填写为“Count_EN”,“Top-Level Source Type”选择为HDL类型。 单击“Next”按钮,进入下一步,选择所使用的芯片类型以及综合和仿真的工具。如图2-4所示,“Product Category”选择“All”,列出所有FPGA器件,“Family”选项包含了所有的Xilinx公司的器件系列,“Device”选项包含了对应系列的所有型号的器件,“Package”选择封装,“Speed”选择速度等级,“Synthesis Tool”选择支持的综合工具

13、,“Simulator”选择支持的仿真工具,“Preferred Language”选择语言:Verilog或者VHDL。在本例中,各选项按照如图2-4所示进行选择。,图2-4 新建工程器件配置图,再单击“Next”按钮,进入下一页,可以选择新建源代码文件,读者可以选择现在开始新建源代码,也可以直接跳过,等工程建立完毕之后再建立源代码。单击“Next”按钮,进入第四页,添加已有的代码;如果没有源代码,单击“Next”按钮,直接进入最后一页;点击“Finish”按钮,就建立好一个完整的工程。,3添加或创建HDL文件输入 在工程建立结束之后,就可以添加或创建HDL文件输入。如果已经设计好HDL文件

14、,则直接添加到工程中来。下面首先介绍添加HDL文件的步骤。 在工程管理区单击右键,选择“Add Files”,然后弹出对话框,找到HDL文件保存的路径来添加所有文件。需要注意的是,如果要添加多个文件,可以按住“Ctrl”键,选择多个文件进行添加;添加完毕之后,点击“OK”按钮,所有文件将按照相关调用层次显示在工程管理区。 新建HDL文件的步骤相对来说复杂些。在工程管理区任一位置单击鼠标右键,在弹出的菜单中选择“New Source”命令,出现如图2-5所示的“New Source Wizard”对话框。,图2-5 新建源代码向导图,对话框左侧的列表用于选择代码的类型,部分项的意义如下: IP(

15、CORE Generator & Architecture Wizard):由ISE的IP Core生成工具快速生成可靠的源代码,选择IP,定义File name,点击“Next”按钮,进入IP定制界面。这与单独使用Core Generator工具产生IP的方法是一样的。,User Document:用户文档类型。 Verilog Module:Verilog 模块类型,用于编写Verilog代码。 Verilog Test Fixture:Verilog测试模块类型,专门用于编写Verilog测试代码。 VHDL Module:VHDL模块类型,用于编写VHDL代码。 VHDL Librar

16、y:VHDL库类型,用于制作VHDL库。 VHDL Package:VHDL包类型,用于制作VHDL包。 VHDL Test Bench:VHDL测试模块类型,用于专门编写VHDL测试代码。 Embedded Processor:嵌入式处理器。将调用XPS工具进入MicoBlaze处理器的硬件定制界面。,在本例中,在“Select Source Type”中选择“Verilog Module”选项,在“File name”文本框中输入“Count_EN ”,单击“Next”按钮,进入端口定义对话框,如图2-6所示。其中,“Module name”输入“Count_EN”。下面的列表对应端口的定义:“Port Name”表示端口名称;“Direction”表示端口方向(可以选择input、output、inout三种类型);如果为总线,则“Bus”选项打钩;“MSB”和“LSB”分

展开阅读全文
相关资源
相关搜索

当前位置:首页 > 高等教育 > 大学课件

电脑版 |金锄头文库版权所有
经营许可证:蜀ICP备13022795号 | 川公网安备 51140202000112号