EDA技术实用教程—VHDL版(第四版) 教学课件 ppt 作者 潘松 黄继业 第6章 宏功能模块与IP应用

上传人:E**** 文档编号:89190022 上传时间:2019-05-21 格式:PPT 页数:109 大小:5.77MB
返回 下载 相关 举报
EDA技术实用教程—VHDL版(第四版) 教学课件 ppt 作者 潘松 黄继业 第6章 宏功能模块与IP应用_第1页
第1页 / 共109页
EDA技术实用教程—VHDL版(第四版) 教学课件 ppt 作者 潘松 黄继业 第6章 宏功能模块与IP应用_第2页
第2页 / 共109页
EDA技术实用教程—VHDL版(第四版) 教学课件 ppt 作者 潘松 黄继业 第6章 宏功能模块与IP应用_第3页
第3页 / 共109页
EDA技术实用教程—VHDL版(第四版) 教学课件 ppt 作者 潘松 黄继业 第6章 宏功能模块与IP应用_第4页
第4页 / 共109页
EDA技术实用教程—VHDL版(第四版) 教学课件 ppt 作者 潘松 黄继业 第6章 宏功能模块与IP应用_第5页
第5页 / 共109页
点击查看更多>>
资源描述

《EDA技术实用教程—VHDL版(第四版) 教学课件 ppt 作者 潘松 黄继业 第6章 宏功能模块与IP应用》由会员分享,可在线阅读,更多相关《EDA技术实用教程—VHDL版(第四版) 教学课件 ppt 作者 潘松 黄继业 第6章 宏功能模块与IP应用(109页珍藏版)》请在金锄头文库上搜索。

1、EDA技术实用教程,第6章 宏功能模块与IP应用,6.1 宏功能模块概述,6.1.1 知识产权核的应用,AMPP程序,MegaCore函数,OpenCore评估功能,OpenCore Plus硬件评估功能,6.1 宏功能模块概述,6.1.2 使用MegaWizard Plug-In Manager, .bsf .cmp .inc .tdf .vhd .v _ bb.v, _ inst.tdf _ inst.vhd _ inst.v,6.1.3 在Quartus II中对宏功能模块进行例化,6.2 LPM计数器模块使用方法,6.2.1 LPM_COUNTER计数器模块文本文件的调用,6.2 LP

2、M计数器模块使用方法,6.2.1 LPM_COUNTER计数器模块文本文件的调用,6.2 LPM计数器模块使用方法,6.2.1 LPM_COUNTER计数器模块文本文件的调用,6.2 LPM计数器模块使用方法,6.2.1 LPM_COUNTER计数器模块文本文件的调用,6.2 LPM计数器模块使用方法,6.2.1 LPM_COUNTER计数器模块文本文件的调用,6.2 LPM计数器模块使用方法,6.2.1 LPM_COUNTER计数器模块文本文件的调用,6.2 LPM计数器模块使用方法,接上页,6.2 LPM计数器模块使用方法,6.2.1 LPM_COUNTER计数器模块文本文件的调用,6.2

3、 LPM计数器模块使用方法,6.2.2 创建工程与仿真测试,6.2 LPM计数器模块使用方法,6.2.2 创建工程与仿真测试,6.3 基于LPM的流水线乘法累加器设计,6.3 基于LPM的流水线乘法累加器设计,6.3.1 LPM加法器模块设置调用,6.3 基于LPM的流水线乘法累加器设计,6.3.1 LPM加法器模块设置调用,6.3 基于LPM的流水线乘法累加器设计,6.3.1 LPM加法器模块设置调用,6.3 基于LPM的流水线乘法累加器设计,6.3.1 LPM加法器模块设置调用,6.3 基于LPM的流水线乘法累加器设计,6.3.2 LPM乘法器模块设置调用,6.3 基于LPM的流水线乘法累

4、加器设计,6.3.2 LPM乘法器模块设置调用,6.3 基于LPM的流水线乘法累加器设计,6.3.3 乘法累加器的仿真测试,6.3 基于LPM的流水线乘法累加器设计,6.3.3 乘法累加器的仿真测试,6.3 基于LPM的流水线乘法累加器设计,6.3.3 乘法累加器的仿真测试,6.3 基于LPM的流水线乘法累加器设计,6.3.4 乘法器的VHDL文本表述和相关属性设置,6.3 基于LPM的流水线乘法累加器设计,6.3.4 乘法器的VHDL文本表述和相关属性设置,6.3 基于LPM的流水线乘法累加器设计,6.3.4 乘法器的VHDL文本表述和相关属性设置,6.3 基于LPM的流水线乘法累加器设计,

5、6.3.4 乘法器的VHDL文本表述和相关属性设置,6.4 LPM 随机存储器的设置和调用,6.4.1 存储器初始化文件生成,1建立.mif格式文件,(1)直接编辑法,6.4 LPM 随机存储器的设置和调用,(2)文件编辑法,6.4 LPM 随机存储器的设置和调用,6.4.1 存储器初始化文件生成,1建立.mif格式文件,(3)C等软件生成,6.4 LPM 随机存储器的设置和调用,6.4.1 存储器初始化文件生成,1建立.mif格式文件,(4)专用mif文件生成器,6.4 LPM 随机存储器的设置和调用,6.4.1 存储器初始化文件生成,1建立.mif格式文件,(4)专用mif文件生成器,6.

6、4 LPM 随机存储器的设置和调用,6.4.1 存储器初始化文件生成,2建立.hex格式文件,6.4 LPM 随机存储器的设置和调用,6.4.2 LPM_RAM的设置和调用,6.4 LPM 随机存储器的设置和调用,6.4.2 LPM_RAM的设置和调用,6.4 LPM 随机存储器的设置和调用,6.4.2 LPM_RAM的设置和调用,6.4 LPM 随机存储器的设置和调用,6.4.2 LPM_RAM的设置和调用,6.4 LPM 随机存储器的设置和调用,6.4.2 LPM_RAM的设置和调用,6.4 LPM 随机存储器的设置和调用,6.4.4 VHDL的存储器描述及相关属性,接下页,6.4 LPM

7、 随机存储器的设置和调用,6.4.4 VHDL的存储器描述及相关属性,接上页,6.4 LPM 随机存储器的设置和调用,6.4.5 数据类型定义语句,1. 限定性数组型数据类型定义,6.4 LPM 随机存储器的设置和调用,6.4.5 数据类型定义语句,2. 非限定性数组型数据类型定义,6.4 LPM 随机存储器的设置和调用,6.4.5 数据类型定义语句,3. 枚举型数据类型定义,6.4 LPM 随机存储器的设置和调用,6.4.5 数据类型定义语句,4. 枚举型子类型数据类型定义,6.4 LPM 随机存储器的设置和调用,6.4.6 存储器配置文件属性定义和结构设置,6.4 LPM 随机存储器的设置

8、和调用,6.4.6 存储器配置文件属性定义和结构设置,6.4 LPM 随机存储器的设置和调用,6.4.6 存储器配置文件属性定义和结构设置,6.4 LPM 随机存储器的设置和调用,6.4.6 存储器配置文件属性定义和结构设置,6.5 LPM_ROM的定制和使用示例,6.5.1 LPM_ROM的定制调用和测试,6.5 LPM_ROM的定制和使用示例,6.5.1 LPM_ROM的定制调用和测试,6.5 LPM_ROM的定制和使用示例,6.5.1 LPM_ROM的定制调用和测试,6.5 LPM_ROM的定制和使用示例,6.5.2 LPM存储器模块取代设置,6.5.3 简易正弦信号发生器设计,6.5

9、LPM_ROM的定制和使用示例,6.5.3 简易正弦信号发生器设计,接下页,6.5 LPM_ROM的定制和使用示例,6.5.3 简易正弦信号发生器设计,接上页,6.5 LPM_ROM的定制和使用示例,6.5.3 简易正弦信号发生器设计,6.5 LPM_ROM的定制和使用示例,6.5.3 简易正弦信号发生器设计,6.5 LPM_ROM的定制和使用示例,6.5.4 正弦信号发生器硬件实现和测试,6.5 LPM_ROM的定制和使用示例,6.5.4 正弦信号发生器硬件实现和测试,6.6 在系统存储器数据读写编辑器应用,(1)打开在系统存储单元编辑窗口,6.6 在系统存储器数据读写编辑器应用,(1)打开

10、在系统存储单元编辑窗口,6.6 在系统存储器数据读写编辑器应用,(2)读取ROM中的波形数据,6.6 在系统存储器数据读写编辑器应用,(3)写数据,(4)输入输出数据文件,6.7 FIFO定制,6.7 FIFO定制,6.7 FIFO定制,6.8 LPM嵌入式锁相环调用,6.8.1 建立嵌入式锁相环元件,6.8 LPM嵌入式锁相环调用,6.8.1 建立嵌入式锁相环元件,6.8 LPM嵌入式锁相环调用,6.8.1 建立嵌入式锁相环元件,6.8 LPM嵌入式锁相环调用,6.8.1 建立嵌入式锁相环元件,6.8 LPM嵌入式锁相环调用,6.8.2 联合设计与测试,6.8 LPM嵌入式锁相环调用,6.8

11、.3 测试锁相环,6.9 NCO核数控振荡器使用方法,(1)定制NCO,6.9 NCO核数控振荡器使用方法,(2)进入Core文件生成选择窗,6.9 NCO核数控振荡器使用方法,(3)设置参数,6.9 NCO核数控振荡器使用方法,(3)设置参数,6.9 NCO核数控振荡器使用方法,(4)生成仿真文件,6.9 NCO核数控振荡器使用方法,(5)加入IP授权文件,6.9 NCO核数控振荡器使用方法,(6)选择目标器件,然后对生成的模块进行编译及功能检测,6.9 NCO核数控振荡器使用方法,(6)选择目标器件,然后对生成的模块进行编译及功能检测,6.10 使用IP Core设计FIR滤波器,6.10

12、 使用IP Core设计FIR滤波器,6.10 使用IP Core设计FIR滤波器,6.10 使用IP Core设计FIR滤波器,6.11 8051单片机IP核应用,6.11 8051单片机IP核应用,6.11 8051单片机IP核应用,6.12 DDS实现原理与应用,6.12.1 DDS实现原理,6.12 DDS实现原理与应用,6.12.1 DDS实现原理,6.12 DDS实现原理与应用,6.12.1 DDS实现原理,6.12 DDS实现原理与应用,6.12.2 DDS信号发生器设计,习 题,6-1 如果不使用MegaWizard Plug-In Manager工具,如何在自己的设计中调用L

13、PM模块?以计数器lpm_counter 为例,写出调用该模块的程序,其中参数自定。 6-2 LPM_ROM/RAM/FIFO等模块与FPGA中嵌入的EAB、M9K有怎样的联系? 6-3 参考Quartus II的Help(Contents),详细说明LPM元件altcam、altsyncram、lpm_fifo 、lpm_shiftreg的使用方法,以及其中各参量的含义和设置方法。 6-4 如果要设计一8051单片机应用系统,如何为它配置含有汇编程序代码的ROM(文件)?,实验与设计,6-1查表式硬件运算器设计 实验原理: (2)实验内容1: (3) 实验内容2 :,实验与设计,6-1查表式

14、硬件运算器设计 实验原理: (2)实验内容1:,实验与设计,6-2 简易正弦信号发生器设计 (1)实验目的: (2)实验原理: (3)实验内容1: (4)实验内容2: (5)实验内容3: (6)实验报告: 下载:/KX_7C5EE+/EXPERIMENTs/EXP8_SINGT_DAC0832/SNGT,实验与设计,6-3 八位数码显示频率计设计 (1)实验目的: (2)实验原理:,实验与设计,6-3 八位数码显示频率计设计 (3)实验内容1: (4)实验内容2: (5)实验内容3: 演示示例:/KX_7C5EE+/EXPERIMENTs/EXP36_FTEST_HEX/F_TESTER。,实

15、验与设计,6-3 八位数码显示频率计设计 (3)实验内容1: (4)实验内容2: (5)实验内容3: 演示示例:/KX_7C5EE+/EXPERIMENTs/EXP36_FTEST_HEX/F_TESTER。,实验与设计,6-4简易逻辑分析仪设计 (1)实验原理:,实验与设计,(2)实验任务1: (3)实验任务2:,实验与设计,6-5 DDS信号发生器设计 (1)实验目的: (2)实验原理: (3)实验内容1: (4)实验内容2: (5)实验内容3: (6)实验内容4: (7)实验内容5: (8)思考题: 演示示例:/KX_7C5EE+/EXPERIMENTs/EXP23_DDS/DDSP。,

16、实验与设计,6-6 DDS移相信号发生器设计 (1)实验原理:,实验与设计,(2)实验内容1: (3)实验内容2: (4)实验内容3: (5)思考题: (6)实验报告: 演示示例:/KX_7C5EE+/EXPERIMENTs/EXP9_DDS_PHASE_2DAC/DDSP。,实验与设计,6-7 4X4阵列键盘键信号检测电路设计 (1)实验原理:,实验与设计,6-7 4X4阵列键盘键信号检测电路设计 (1)实验原理:,实验与设计,6-7 4X4阵列键盘键信号检测电路设计 (1)实验原理:,接下页,实验与设计,6-7 4X4阵列键盘键信号检测电路设计 (1)实验原理:,接上页,实验与设计,(2)实验任务1: (3)实验任务2: (4)实验任务3: (5)实验任务4: (6)实验任务5: (7)实验任务6: 5E+系统演示示例:/KX_7C5EE+/EXPERIMENTs/EXP31_SCAN_4X4KEY/。,实验与设计,6-8 8051单片机IP核SOC片上系统设计实验 (1)实验内容1: (2)实验内容2: (3)实验内容3:

展开阅读全文
相关资源
相关搜索

当前位置:首页 > 高等教育 > 大学课件

电脑版 |金锄头文库版权所有
经营许可证:蜀ICP备13022795号 | 川公网安备 51140202000112号