ise软件的ip核创建流程

上传人:206****923 文档编号:88626435 上传时间:2019-05-05 格式:DOCX 页数:11 大小:1.73MB
返回 下载 相关 举报
ise软件的ip核创建流程_第1页
第1页 / 共11页
ise软件的ip核创建流程_第2页
第2页 / 共11页
ise软件的ip核创建流程_第3页
第3页 / 共11页
ise软件的ip核创建流程_第4页
第4页 / 共11页
ise软件的ip核创建流程_第5页
第5页 / 共11页
点击查看更多>>
资源描述

《ise软件的ip核创建流程》由会员分享,可在线阅读,更多相关《ise软件的ip核创建流程(11页珍藏版)》请在金锄头文库上搜索。

1、Ise软件创建ip核以及用isim仿真流程一:首先需要穿件一个project:取名为ip_3,选择好路径选择仿真工具为isim选择tool-core generator会出现如下界面:左侧为选择ip核的信息,右侧为相应ip的信息当左侧选择想要的ip核时候,可以发现有些是灰色的,不能创建,这个时候需要创建一个ip核的工程,(个人理解是创建一个ip核的承载文件)如下图点击保存后会出现如下选项:在part配置器件,generation中选择生成的ip核语言点击ok这时候发现ip核亮了,可以创建了,然后双击需要创建的ip核然后出现上图信息,在value中选择输入时钟的频率。50mhz写入自己想要的名字

2、这里选择你想要输出的时钟频率这个选项中有个复位和锁定的端口,我们一般不需要,前面的沟去掉,然后点击next这里没什么需要设置的,点击next这里可以选择修改端口的名字,也可以不改,然后next点击生成,ip核就创建完成了表示ip核的创建进程Ip核的一些信息,可以关掉了创建完成ip核后,我们需要把ip核加入到我们的工程中,右键添加文件,在ipcore_dir中选择xco文件,这个是优化后的ip核工程文件点击加入之后,可以看到ip核已经加入,选中ip核文件下面有它的文件内容以及例化文件内容,例化可以直接拿来用。然后右键添加新文件,选择verilog test fixture,选中路径名字等选择需要创建tb文件的设计文件正在生成tb文件这时候还没完,自动生成的测试文件需要我们修改,加时钟一起其他激励,修改好以后,选中需要仿真的tb文件,双击simulate这时候仿真就运行了

展开阅读全文
相关资源
相关搜索

当前位置:首页 > 中学教育 > 其它中学文档

电脑版 |金锄头文库版权所有
经营许可证:蜀ICP备13022795号 | 川公网安备 51140202000112号