电子密码锁的设计与分-eda技术综合应用实例与分析-谭会生-ppt-幻灯片

上传人:F****n 文档编号:88161207 上传时间:2019-04-20 格式:PPT 页数:73 大小:1,024KB
返回 下载 相关 举报
电子密码锁的设计与分-eda技术综合应用实例与分析-谭会生-ppt-幻灯片_第1页
第1页 / 共73页
电子密码锁的设计与分-eda技术综合应用实例与分析-谭会生-ppt-幻灯片_第2页
第2页 / 共73页
电子密码锁的设计与分-eda技术综合应用实例与分析-谭会生-ppt-幻灯片_第3页
第3页 / 共73页
电子密码锁的设计与分-eda技术综合应用实例与分析-谭会生-ppt-幻灯片_第4页
第4页 / 共73页
电子密码锁的设计与分-eda技术综合应用实例与分析-谭会生-ppt-幻灯片_第5页
第5页 / 共73页
点击查看更多>>
资源描述

《电子密码锁的设计与分-eda技术综合应用实例与分析-谭会生-ppt-幻灯片》由会员分享,可在线阅读,更多相关《电子密码锁的设计与分-eda技术综合应用实例与分析-谭会生-ppt-幻灯片(73页珍藏版)》请在金锄头文库上搜索。

1、第4章 电子密码锁的设计与分析,4.1 系统设计要求 4.2 系统设计方案 4.3 主要VHDL源程序 4.4 系统仿真/硬件验证 4.5 设计技巧分析 4.6 系统扩展思路,4.1 系统设计要求,设计一个具有较高安全性和较低成本的通用电子密码锁,其具体功能要求如下: (1) 数码输入:每按下一个数字键,就输入一个数值,并在显示器上的最右方显示出该数值,同时将先前输入的数据依序左移一个数字位置。 (2) 数码清除:按下此键可清除前面所有的输入值,清除成为“0000”。,(3) 密码更改:按下此键时会将目前的数字设定成新的密码。 (4) 激活电锁:按下此键可将密码锁上锁。 (5) 解除电锁:按下

2、此键会检查输入的密码是否正确,密码正确即开锁。,4.2 系统设计方案,作为通用电子密码锁,主要由三个部分组成:数字密码输入电路、密码锁控制电路和密码锁显示电路。 作为电子密码锁的输入电路,可供选择的方案有数字机械式键盘和触摸式数字键盘等多种。,根据以上选定的输入设备和显示器件,并考虑到实现各项数字密码锁功能的具体要求,整个电子密码锁系统的总体组成框图如图4.1所示。 (1) 密码锁输入电路包括时序产生电路、键盘扫描电路、键盘弹跳消除电路、键盘译码电路等几个小的功能电路。,(2) 密码锁控制电路包括按键数据的缓冲存储电路,密码的清除、变更、存储、激活电锁电路(寄存器清除信号发生电路),密码核对(

3、数值比较电路),解锁电路(开/关门锁电路)等几个小的功能电路。 (3) 七段数码管显示电路主要将待显示数据的BCD码转换成数码器的七段显示驱动编码。,图4.1 数字电子密码锁系统总体框图,4.2.1 密码锁输入电路的设计 图4.2是电子密码锁的输入电路框图,由键盘扫描电路、弹跳消除电路、键盘译码电路、按键数据缓存器,加上外接的一个34矩阵式键盘组成。,图4.2 密码锁的输入电路框图,1矩阵式键盘的工作原理 矩阵式键盘是一种常见的输入装置,在日常的生活中,矩阵式键盘在计算机、电话、手机、微波炉等各式电子产品上已经被广泛应用。图4.3是一个34矩阵式键盘的面板配置图,其中数字09作为密码数字输入按

4、键,*作为“上锁”功能按键,#作为“解锁/清除”功能按键。,图4.3 34矩阵式键盘的面板配置,表4.1 按键位置与数码关系,2密码锁输入电路各主要功能模块的设计 1) 时序产生电路 本时序产生电路中使用了三种不同频率的工作脉冲波形:系统时钟脉冲(它是系统内部所有时钟脉冲的源头,且其频率最高)、弹跳消除取样信号、键盘扫描信号。 2) 键盘扫描电路 扫描电路的作用是用来提供键盘扫描信号(表4.1中的KY3KY0)的,扫描信号变化的顺序依次为11101101101101111110依序地周而复始。,3) 弹跳消除电路 由于本设计中采用的矩阵式键盘是机械开关结构,因此在开关切换的瞬间会在接触点出现信

5、号来回弹跳的现象,对于电子密码锁这种灵敏度较高的电路这种弹跳将很可能会造成误动作输入,从而影响到密码锁操作的正确性。 从图4.4中可以观察出弹跳现象产生的原因,虽然只是按下按键一次然后放掉,然而实际产生的按键信号却不止跳动一次,经过取样信号的检查后,将会造成误判断,以为键盘按了两次。如果调整抽样频率(如图4.5所示),可以发现弹跳现象获得了改善。,图4.4 弹跳现象产生错误的抽样结果,图4.5 调整抽样频率后得到的抽样结果,弹跳消除电路的实现原理如图4.6所示,先将键盘的输入信号D_IN做为电路的输入信号,CLK是电路的时钟脉冲信号,也就是取样信号,D_IN经过两级D触发器延时后再使用RS触发

6、器处理。,图4.6 弹跳消除电路的内部实现原理图,此处RS触发器的前端连接和非门的处理原则是: (1) 因为一般人的按键速度至多是10次/秒,亦即一次按键时间是100 ms,所以按下的时间可估算为50 ms。以取样信号CLK的周期为8 ms计,则可以取样到6次。 (2) 对于不稳定的噪声,在4 ms以下则至多抽样一次。 (3) 在触发器之前,接上AND-NOT之后,SR的组态如表4.2所示。,表4.2 RS触发器真值表,4) 键盘译码电路 上述键盘中的按键可分为数字按键和文字按键,每一个按键可能负责不同的功能,例如清除数码、退位、激活电锁、开锁等,详细功能参见表4.3。,表4.3 键盘参数表,

7、5) 按键存储电路 因为每次扫描会产生新的按键数据,可能会覆盖前面的数据,所以需要一个按键存储电路,将整个键盘扫描完毕后的结果记录下来。按键存储电路可以使用移位寄存器构成。,4.2.2 密码锁控制电路的设计 密码锁的控制电路是整个电路的控制中心,主要完成对数字按键输入和功能按键输入的响应控制。 1数字按键输入的响应控制 (1) 如果按下数字键,第一个数字会从显示器的最右端开始显示,此后每新按一个数字时,显示器上的数字必须左移一格,以便将新的数字显示出来。,(2) 假如要更改输入的数字,可以按倒退按键来清除前一个输入的数字,或者按清除键清除所有输入的数字,再重新输入四位数。 (3) 由于这里设计

8、的是一个四位的电子密码锁,所以当输入的数字键超过四个时,电路不予理会,而且不再显示第四个以后的数字。,2功能按键输入的响应控制 (1) 清除键:清除所有的输入数字,即做归零动作。 (2) 激活电锁键:按下此键时可将密码锁的门上锁。(上锁前必须预先设定一个四位的数字密码。) (3) 解除电锁键:按下此键会检查输入的密码是否正确,若密码正确无误则开门。,图4.7 电子密码锁的三种模式及关系,4.2.3 密码锁显示电路的设计 密码锁显示电路的设计比较简单,这里直接采用四个4-7译码器来实现。 4.2.4 密码锁的整体组装设计 将前面各个设计好的功能模块进行整合,可得到一个完整的电子密码锁系统的整体组

9、装设计原理图,如图4.8所示。,图4.8 密码锁的整体组装设计原理图,4.3 主要VHDL源程序,4.3.1 键盘输入去抖电路的VHDL源程序 -DCFQ.VHD LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; ENTITY DCFQ IS PORT(CLK, CLRN, PRN, D: IN STD_LOGIC; Q: OUT STD_LOGIC);,END ENTITY DCFQ ; ARCHITECTURE ART OF DCFQ IS BEGIN PROCESS (CLK, CLRN, PRN) BEGIN IF CLRN=0 AND PRN=1

10、THEN Q=0; ELSIF CLRN=1 AND PRN=0 THEN Q=1;,ELSIF CLKEVENT AND CLK=1 THEN Q =D; END IF ; END PROCESS ; END ARCHITECTURE ART; -DEBOUNCING.VHD LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; LIBRARY ALTERA; USE ALTERA.MAXPLUS2.ALL;,ENTITY DEBOUNCING IS PORT(D_IN, CLK: IN STD_LOGIC; DD1, DD0, QQ1, QQ0 : OUT

11、STD_LOGIC; D_OUT, D_OUT1: OUT STD_LOGIC ); END ENTITY DEBOUNCING ; ARCHITECTURE ART OF DEBOUNCING IS COMPONENT DCFQ IS PORT(CLK, CLRN, PRN, D: IN STD_LOGIC; Q: OUT STD_LOGIC);,END COMPONENT DCFQ; SIGNAL VCC, INV_D : STD_LOGIC ; SIGNAL Q0, Q1 : STD_LOGIC ; SIGNAL D1, D0 : STD_LOGIC ; BEGIN VCC = 1 ;

12、INV_D = NOT D_IN ;,U1: DCFQ PORT MAP (CLK = CLK, CLRN = INV_D, PRN = VCC, D =VCC , Q = Q0); U2: DCFQ PORT MAP (CLK = CLK, CLRN = Q0, PRN = VCC, D =VCC , Q = Q1); PROCESS (CLK) BEGIN IF CLKEVENT AND CLK=1 THEN D0 = NOT Q1; D1 = D0; END IF ;,END PROCESS ; DD0 = D0; DD1 = D1; QQ1 = Q1; QQ0 = Q0; D_OUT

13、= NOT (D1 AND NOT D0); D_OUT1 = NOT Q1 ; END ARCHITECTURE ART;,4.3.2 密码锁输入电路的VHDL源程序 -KEYBOARD.VHD LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_ARITH.ALL ; USE IEEE.STD_LOGIC_UNSIGNED.ALL ; ENTITY KEYBOARD IS,PORT (CLK_1K: IN STD_LOGIC ; -系统原始时钟脉冲(1 kHz) KEY_IN: IN STD_LOGIC_VECTOR

14、(2 DOWNTO 0); -按键输入 CLK_SCAN: OUT STD_LOGIC_VECTOR (3 DOWNTO 0) ; -( 仿真时用)键盘扫描序列 DATA_N: OUT STD_LOGIC_VECTOR(3 DOWNTO 0) ; -数字输出,DATA_F: OUT STD_LOGIC_VECTOR(3 DOWNTO 0) ; -功能输出 FLAG_N: OUT STD_LOGIC ; -数字输出标志 FLAG_F: OUT STD_LOGIC ; -功能输出标志 CLK_CTR: OUT STD_LOGIC; -控制电路工作时钟信号 CLK_DEBOUNCE: OUT STD

15、_LOGIC -(仿真时用)去抖电路工作时钟信号 );,END ENTITY KEYBOARD ; ARCHITECTURE ART OF KEYBOARD IS COMPONENT DEBOUNCING IS PORT(D_IN: IN STD_LOGIC ; CLK: IN STD_LOGIC ; D_OUT: OUT STD_LOGIC ) ; END COMPONENT DEBOUNCING;,SIGNAL CLK: STD_LOGIC ; -电路工作时钟脉冲 SIGNAL C_KEYBOARD: STD_LOGIC_VECTOR(1 DOWNTO 0); -键扫信号“00-01-10

16、-11”寄存器 SIGNAL C_DEBOUNCE: STD_LOGIC ; -去抖时钟信号 SIGNAL C: STD_LOGIC_VECTOR(2 DOWNTO 0) ; -键盘输入去抖后的寄存器,SIGNAL N , F: STD_LOGIC_VECTOR(3 DOWNTO 0) ; -数字、功能按键译码值的寄存器 SIGNAL FN , FF: STD_LOGIC ; -数字、功能按键标志值数字、功能按键 SIGNAL SEL: STD_LOGIC_VECTOR (3 DOWNTO 0) ; BEGIN,- 内部连接 DATA_N = N ; DATA_F = F ; FLAG_N = FN ; FLAG_F = FF ; CLK_CTR = CLK ; -扫描信号发生器 COUNTER : BLOCK IS SIGNAL Q: STD_LOGIC_VECTOR(5 DOWNTO 0);,

展开阅读全文
相关资源
相关搜索

当前位置:首页 > 办公文档 > PPT模板库 > PPT素材/模板

电脑版 |金锄头文库版权所有
经营许可证:蜀ICP备13022795号 | 川公网安备 51140202000112号