《模电实验》互补功率放大电路

上传人:自*** 文档编号:80052233 上传时间:2019-02-18 格式:DOC 页数:6 大小:991.50KB
返回 下载 相关 举报
《模电实验》互补功率放大电路_第1页
第1页 / 共6页
《模电实验》互补功率放大电路_第2页
第2页 / 共6页
《模电实验》互补功率放大电路_第3页
第3页 / 共6页
《模电实验》互补功率放大电路_第4页
第4页 / 共6页
《模电实验》互补功率放大电路_第5页
第5页 / 共6页
点击查看更多>>
资源描述

《《模电实验》互补功率放大电路》由会员分享,可在线阅读,更多相关《《模电实验》互补功率放大电路(6页珍藏版)》请在金锄头文库上搜索。

1、互补功率放大电路实验姓名:学号:互补功率放大电路实验互补功率放大器具有结构简单,可靠性高等优点,在各种音频功率放大器中有十分广泛的应用。本实验利用功率MOS管和运算放大器构同相推挽式乙类复合放大器,利用运算放大器的负反馈原理去除因功放管截止区带来的交越失真。一、实验目的: 1. 了解互补功率放大电路的工作原理;2. 学会利用MOS管和运算放大器搭建功率放大电路,掌握其工作原理及特点。3测量功率放大电路的输出功率、电压增益、效率等参数。4. 观察电路中各点的波形。二、复习要求1复习互补功率放大器有关的知识;2. 分析实验电路中功率放大器的工作原理;3. 了解输出功率、效率、占空比、电压增益等参数

2、的含义及测量方法;4. 熟悉实验电路中各元件作用。5、按要求计算变压器、匹配电感的参数三、实验电路原理:实验电路如图2.11所示。使用运算放大器和MOS管构成同相复合放大器。图中,两个功放管交替工作,分别放大信号的正半周和负半周。运算放大器将反馈信号和输入信号进行比较,将误差信号进行放大,推动功放管。由于功放管的静态工作点处于截止区(VGS2V),可以在运放的输出端(1管脚)测到较大的零点跳跃,但是在输出端测到的是连续的波形。电源电压使用12V直流,通过调整电阻R5和R6,控制功放管的静态工作点VGS 在2V左右,使之位于截止区和导通区的临界处。图2.11 同相推挽式复合放大器实验电路由于水声

3、压电换能器是容性负载,而且具有较大的等效阻抗,为了提高功率放大器输出效率,在负载上加入电感,形成谐振回路,在工作频点上对容性负载进行补偿,使负载接近于纯电阻。假设换能器在工作频点上的等效并联电阻为,等效电容为(实际调试时用功率电阻和电容并联代替换能器)。图2.7 负载等效电路加入的匹配电L之后,等效阻抗变为 6.1另等号右边的虚部为0,此时,回路达到谐振。所以,当回路谐振时,匹配电感 6.2此时,等效电阻变为 6.3这样,变压器输出端的电压有效值为 6.4由于变压器前端的信号的电压峰峰值不能超过电源电压,设其有效值为,则: 6.5所以,变压器的初、次级匝数比为 6.6四、实验步骤1、制作匹配电

4、感L和变压器的参数a、利用公式6.2计算出L的电感量。b、在骨架上绕制出电感Lc、测量做好的L的电感量2、制作输出变压器a 、利用公式6.3和6.4计算变压器输出端的电压。考虑到输出变压器的损耗,输出功率P按1.5倍的设计指标来计算b、利用公式6.6计算变压器的匝数比。c、在骨架上绕制变压器,先绕匝数多的,后绕匝数少的。注意两个初级线圈的对称性和极性。3、按照电路图焊接电路。通电前检查电路是否正确。注意为功放管安装散热器。4、将输入信号接地,检查无误后通电,观察电源输出电流是否正常5、信号源产生CW脉冲信号,加入功率放大器的输入端。注意输入信号幅度要从零缓慢加大,信号占空比小于10%,随时关注

5、功放管的温度,以防止功放管过热损坏。6、测量功率放大器的各种参数。五、实验设计要求、按照输出功率1W,占空比10%,信号频率75kHz计算电路参数, 、焊接电路、测量各种参数4、回答问题a、运算放大器输出管脚和功放管输出管脚的波形有什么区别,为什么?b、怎样扩大输出信号的幅度?画出电路图并标明原件参数。5、认真完成实验报告六、实验数据记录及波形实验最终波形(1) 各个参数的计算匹配电感的计算 等效电阻的计算 变压器输出端的电压有效值的计算 变压器前端的信号的电压的计算变压器的初、次级匝数比的计算 (2) 实验测量数据制作的电感L=1.14mH负载Vpp=64.8V f=75.76KHz7、 回答问题a、运放的输出端(1管脚)测到较大的零点跳跃,但是在输出端测到的是连续的波形。因为两个功放管交替工作,分别放大信号的正半周和负半周。所有零点跳跃不影响输出端的波形。b、增大VGS ,即增大R5、R6的阻值或减小R4、R7的阻值。

展开阅读全文
相关资源
相关搜索

当前位置:首页 > 办公文档 > 其它办公文档

电脑版 |金锄头文库版权所有
经营许可证:蜀ICP备13022795号 | 川公网安备 51140202000112号