2017毕业论文-基于fpga电子密码锁设计

上传人:自*** 文档编号:79725001 上传时间:2019-02-17 格式:DOC 页数:48 大小:1.06MB
返回 下载 相关 举报
2017毕业论文-基于fpga电子密码锁设计_第1页
第1页 / 共48页
2017毕业论文-基于fpga电子密码锁设计_第2页
第2页 / 共48页
2017毕业论文-基于fpga电子密码锁设计_第3页
第3页 / 共48页
2017毕业论文-基于fpga电子密码锁设计_第4页
第4页 / 共48页
2017毕业论文-基于fpga电子密码锁设计_第5页
第5页 / 共48页
点击查看更多>>
资源描述

《2017毕业论文-基于fpga电子密码锁设计》由会员分享,可在线阅读,更多相关《2017毕业论文-基于fpga电子密码锁设计(48页珍藏版)》请在金锄头文库上搜索。

1、摘 要在电子技术飞速发展的今天,具有防盗报警等功能的电子密码锁代替弹子锁和密码量少,安全性差的机械式密码锁已是必然趋势。随着电子技术的发展,电子密码锁的设计也在不断地发展,有传统的PCB板设计、用PLC设计或者用单片机设计等。其中,使用较多的是基于单片机技术的设计。以单片机为主要器件, 其编码器与解码器的生成为软件方式。在实际应用中, 由于程序容易跑飞, 系统的可靠性能较差。而用VHDL可以更加快速、灵活地设计出符合各种要求的密码锁,优于其他设计方法。本文介绍的是一种基于现场可编程门阵列FPGA 器件的电子密码锁的设计方法。本文采用EDA技术,利用Quartus II工作平台和硬件描述语言,设

2、计了一种电子密码锁,并通过一片FPGA芯片实现。设计充分利用了FPGA的资源可编程特性,可高效率的对系统进行升级与改进.用FPGA 器件构造系统, 所有算法完全由硬件电路来实现, 使得系统的工作可靠性大为提高。由于FPGA具有IsP功能,当设计需要更改时, 只需更改FPGA 中的控制和接口电路, 利用EDA 工具将更新后的设计下载到FPGA 中即可, 无需更改外部电路的设计, 大大提高了设计的效率。另外,在本文设计的系统中充分考虑了实际生活的需要,加入了键盘防抖、数码显示控制、自动报警的功能使得设计人性化、实用化,真正起到了为现实生化服务的目的。因此,该密码锁具有较高的推广价值。关键词:电子密

3、码锁 FPGA 硬件描述语言 EDAAbstractToday, as rapid development in electronic technology, electronic password lock with burglar alarm and other functions replacing less password and poor security mechanical code lock is an inevitable trend. With the development of electronic technology, the design of electron

4、ic locks are constantly evolving, with traditional PCB board design, with PLC design or design with a single chip. Among them, most electronic password locks we used now is based upon SCM technology. SCM is its mainly device, and the creating of encoding and decoding devices is the fashion of Softwa

5、re mode. In practice, because of easy running to fly, the system reliability can be poor. Whereas, the use of VHDL can be more quickly and flexibly meet the various requirements designed locks, better than the other design. This article mainly describes a design method of electronic password lock ba

6、sed upon Field Programmable Gate Array device. In this paper, we use EDA technology, Quartus II platform and hardware description language designing an electronic password lock, and it achieved through an FPGA chip.The design takes full advantage of the ISP functiong of FPGA, so it can be efficientl

7、y upgraded and improved. We use FPGA devices to construct system, all of the algorithm entirely achieved by the hardware circuit. Because of FPGA has the function of ISP, when the design needs to be changed, We only need to change the control and interface circuit of FPGA, EDA tools are used to down

8、load the updated design to FPGA without changing the design of the external circuit, this greatly enhance the efficiency of the design.In addition,the design of the system in this fully into account the needs of real life, joined the anti-shake keyboard, digital display control, alarm function makes

9、 the design of human nature, practical, and really play services for the purpose of biochemical reality. Therefore, the lock is well worth popularizing.Key words: Electronic Password Lock FPGA Hardware Description Language EDA目 录目 录I第一章 绪 论11.1国内外发展及现状11.2研究目的及意义2第二章电子密码锁基本原理分析32.1 电子密码锁简介32.2电子密码锁原

10、理32.2.1 编码总量的确定32.2.2 编码制式的选择42.2.3 误码输入保护措施42.3 系统设计目标5第三章系统需求分析63.1 FPGA简介63.2 FPGA特点93.3模块化设计FPGA93.4自顶向下设计方法103.5自上而下设计方法113.6 VHDL硬件描述语言113.6.1 VHDL语言的基本结构113.6.2 结构体的描述方式123.7 EDA技术简介13第四章系统详细设计144.1系统功能描述144.2 顶层模块的VHDL语言程序164.2.1 顶层实体说明的VHDL语言程序164.2.2 顶层结构体的设计及其VHDL语言程序174.3 底层模块的分析、实现与仿真21

11、4.3.1输入消抖同步电路214.3.2 编码电路224.3.3 比较电路224.3.4 预置密码电路234.3.5 计数器选择电路234.3.6 控制指示灯电路244.3.7 分频电路244.3.8 七段显示译码电路254.3.9 其他简单电路264.4 控制器模块的分析及其VHDL语言程序264.5系统的RTL分析27第五章 系统评析28第六章 系统前景29第七章 总结30谢辞31参考文献32附录1、系统的源程序清单331.顶层结构的VHDL程序332.输入消抖电路的VHDL程序清单353.编码电路的VHDL程序清单354.比较电路的VHDL程序清单365.预置密码电路的VHDL程序清单3

12、66.计数器选择电路的VHDL程序清单367.控制指示灯电路的VHDL程序清单378.分频电路的VHDL程序清单379.七段显示译码电路的VHDL程序清单3810其他电路的VHDL程序清单4011.控制功能模块的VHDL程序清单41附录2、RTL分析框图43附录2、RTL分析框图第一章 绪 论1.1国内外发展及现状随着人们生活水平的提高和安全意识日益的增强,自然而然对安全的要求越来越高。锁自古以来就是把守护门的铁将军,人们对它要求甚高,既要安全可靠的防盗,又要使用方便,这也是制锁者长期以来研制的主题。弹子锁由于结构上的局限已难以满足当前社会管理和防盗要求,特别是在人员经常变动的公共场所,如办公

13、室、写字楼、宾馆、仓库等地方。随着电子技术的发展,各类电子产品应运而生,电子密码锁就是其中之一。据有关资料介绍,电子密码锁的研究从20世纪30年代就开始了,在一些特殊场所早就有所应用。这种锁是通过键盘输入一组密码完成开锁过程。研究这种锁的初衷,就是为提高锁的安全性。由于电子锁的密钥量(密码量)极大,可以与机械锁配合使用,并且可以避免因钥匙被仿制而留下安全隐患。电子锁只需记住一组密码,无需携带金属钥匙,免除了人们携带金属钥匙的烦恼,而被越来越多的人所欣赏。电子锁的种类繁多,例如数码锁,指纹锁,磁卡锁,IC卡锁,生物锁等。但较实用的还是按键式电子密码锁。20世纪80年代后,随着电子锁专用集成电路的

14、出现,电子锁的体积缩小,可靠性提高,成本较高,是适合使用在安全性要求较高的场合,且需要有电源提供能量,使用还局限在一定范围,难以普及,所以对它的研究一直没有明显进展。目前,在西方发达国家,电子密码锁技术相对先进,种类齐全,电子密码锁已被广泛应用于智能门禁系统中,通过多种更加安全,更加可靠的技术实现大门的管理。在我国电子锁整体水平尚处于国际上70年代左右,电子密码锁的成本还很高,市场上仍以按键电子锁为主,按键式和卡片钥匙式电子锁已引进国际先进水平,现国内有几个厂生产供应市场。但国内自行研制开发的电子锁,其市场结构尚未形成,应用还不广泛。国内的不少企业也引进了世界上先进的技术,发展前景非常可观。希

15、望通过不断的努力,使电子密码锁在我国也能得到广泛应用。目前使用的电子密码锁大部分是基于单片机技术,以单片机为主要器件,其编码器与解码器的生成为软件方式。在实际应用中,由于程序容易跑飞,系统的可靠性能较差。基于现场可编程逻辑门阵列FPGA器件的电子密码锁,用FPGA器件能够简化系统系统,降低成本,所有算法完全由硬件电路来实现,使得系统的工作可靠性和保密性大大提高。由于FPGA具有现场可编程功能,当设计需要更改时,只需更改FPGA中的控制和接口电路,利用EDA工具将更新后的设计下载到FPGA中即可,无需更改外部电路的设计,大大提高了设计的效率。1.2研究目的及意义随着人们生活水平的提高,对家庭防盗技术的要求也是越来越高,传统的机械锁由于其构造的简单,被撬的事件屡见不鲜,电子锁由于其保密性高,使用灵活性好,安全系数高,受到了广大用户的欢迎。现在市场上主要是基于单片机技术的电子密码锁,但可靠性较差。FPGA即现场可编程门阵列,它是在PAL、GAL、EPLD等可编程器件的基础上进一步发展的产物,是一种超大规模集成电路,具有对电路可重配置能力。通常FPGA都有着上万次的重写次数,也就是说现在的硬件设计和软件设计一样灵活、方便。相对于基于单片机技术的电子密码锁,用FPGA器件来构成系统,可

展开阅读全文
相关资源
正为您匹配相似的精品文档
相关搜索

最新文档


当前位置:首页 > 学术论文 > 毕业论文

电脑版 |金锄头文库版权所有
经营许可证:蜀ICP备13022795号 | 川公网安备 51140202000112号