的主要描述语句教学

上传人:tia****nde 文档编号:67700759 上传时间:2019-01-08 格式:PPT 页数:105 大小:539.51KB
返回 下载 相关 举报
的主要描述语句教学_第1页
第1页 / 共105页
的主要描述语句教学_第2页
第2页 / 共105页
的主要描述语句教学_第3页
第3页 / 共105页
的主要描述语句教学_第4页
第4页 / 共105页
的主要描述语句教学_第5页
第5页 / 共105页
点击查看更多>>
资源描述

《的主要描述语句教学》由会员分享,可在线阅读,更多相关《的主要描述语句教学(105页珍藏版)》请在金锄头文库上搜索。

1、第四章 VHDL的主要描述语句,4.1 VHDL顺序语句 4.2 VHDL并行语句,4.1 VHDL顺序语句 顺序语句是指完全按照程序中书写的顺序执行各语句,并且在结构层次中前面的语句执行结果会直接影响后面各语句的执行结果。顺序描述语句只能出现在进程或子程序中,用来定义进程或子程序的算法。顺序语句可以用来进行算术运算、逻辑运算、信号和变量的赋值、子程序调用等,还可以进行条件控制和迭代。 注意,这里的顺序是从仿真软件的运行和顺应VHDL语法的编程逻辑思路而言的,其相应的硬件逻辑工作方式未必如此。应该注意区分VHDL语言的软件行为与描述综合后的硬件行为的差异。,用VHDL语言进行设计时,按描述语句

2、的执行顺序进行分类,可将VHDL语句分为顺序执行语句(Sequential)和并行执行语句(Parallel)。,VHDL顺序语句主要包括: 变量赋值语句(Variable Evaluate) 信号赋值语句(Signal Evaluate) WAIT语句 IF 语句 CASE 语句 LOOP 语句 NEXT 语句 EXIT 语句 RETURN 语句 NULL 语句 过程调用语句(Procedure Call) 断言语句(Assert) REPORT 语句,4.1.1 变量赋值语句 变量赋值语句语法格式为: 变量赋值目标 := 赋值表达式,例: VARIABLE s:BIT := 0; PROC

3、ESS(s) VARIABLE count:INTEGER := 0 -变量说明 BEGIN count := s+1 -变量赋值 END PROCESS;,4.1.2 信号赋值语句 在VHDL语言中,用符号“=”为信号赋值。 信号赋值语句的规范书写格式如下: 目的信号量 = TRANSPORTINERTIAL信号变量表达式; 其中TRANSPORT表示传输延迟,INERTIAL表示惯性延迟。要求“=”两边的信号变量类型和位长度应该一致。 例: s =TRANSPORT t AFTER 10ns; d = INERTIAL 2 AFTER 3ns, 1 AFTER 8ns;,例:s = a N

4、OR(b AND c); 3个敏感量a,b,c中任何一个发生变化,该语句都将被执行。,4.1.3 WAIT语句 WAIT语句在进程中起到与敏感信号一样重要的作用,敏感信号触发进程的执行,WAIT语句同步进程的执行,同步条件由WAIT语句指明。进程在仿真运行中处于执行或挂起两种状态之一。当进程执行到等待语句时,就将被挂起并设置好再次执行的条件。WAIT语句可以设置4种不同的条件:无限等待、时间到、条件满足以及敏感信号量变化。这几类WAIT语句可以混合使用。现分别介绍如下:,(1)WAIT -无限等待语句 这种形式的WAIT语句在关键字“WAIT”后面不带任何信息,是无限等待的情况。,(2)WAI

5、T ON 信号表 -敏感信号等待语句 这种形式的WAIT语句使进程暂停,直到敏感信号表中某个信号值发生变化。WAIT ON语句后面跟着的信号表,在敏感信号表中列出等待语句的敏感信号。当进程处于等待状态时,其中敏感信号发生任何变化都将结束挂起,再次启动进程。,例A PROCESS BEGIN y = a AND b; WAIT ON a,b; END PROCESS; 例B PROCESS(a,b) BEGIN y = a AND b; END PROCESS;,在例A中执行所有语句后,进程将在WAIT语句处被挂起,直到a或b中任何一个信号发生变化,进程才重新开始。例A与例B是等价的。,需要注意

6、的是,在使用WAIT ON语句的进程中,敏感信号量应写在进程中的WAIT ON语句后面;而在不使用WAIT ON语句的进程中,敏感信号量应在开头的关键词PROCESS后面的敏感信号表中列出。VHDL规定,已列出敏感信号表的进程不能使用任何形式的WAIT语句。,(3)WAIT UNTIL 条件 -条件等待语句 这种形式的WAIT语句使进程暂停,直到预期的条件为真。WAIT UNTIL后面跟的是布尔表达式,在布尔表达式中隐式地建立一个敏感信号量表,当表中任何一个信号量发生变化时,就立即对表达式进行一次测评。如果其结果使表达式返回一个“真”值,则进程脱离挂起状态,继续执行下面的语句。即WAIT UN

7、TIL语句需满足以下条件: 在条件表达式中所含的信号发生了变化; 此信号改变后,且满足WAIT UNTIL语句中表达式的条件。 这两个条件缺一不可,且必须按照上述顺序来完成。,WAIT UNTIL语句有以下三种表达方式: WAIT UNTIL 信号 = VALUE; WAIT UNTIL 信号EVENT AND信号 = VALUE; WAIT UNTIL 信号STABLE AND信号 = VALUE; 例如: WAIT UNTIL clock = “1”; WAIT UNTIL rising_edge(clk); WAIT UNTIL clk =1AND clk EVENT; WAIT UNT

8、IL NOT clk STABLE AND clk= “1”;,一般的,在一个进程中使用了WAIT语句后,综合器会综合产生时序逻辑电路。时序逻辑电路的运行依赖WAIT UNTIL表达式的条件,同时还具有数据存储的功能。,(4)WAIT FOR 时间表达式 -超时等待语句 例如:WAIT FOR 40 ns; 在该语句中,时间表达式为常数40ns,当进程执行到该语句时,将等待40ns,经过40ns之后,进程执行WAIT FOR的后继语句。 例如:WAIT FOR(a*(b+c); 在此语句中,(a*(b+c)为时间表达式,WAIT FOR语句在执行时,首先计算表达式的值,然后将计算结果返回作为该

9、语句的等待时间。,4.1.4 IF语句 在VHDL语言中,IF语句的作用是根据指定的条件来确定语句的执行顺序。IF语句可用于选择器、比较器、编码器、译码器、状态机等的设计,是VHDL语言中最常用的语句之一。IF语句按其书写格式可分为以下3种。,1.门闩控制语句 这类语句书写格式为: IF 条件 THEN 顺序语句 END IF;,当程序执行到这种门闩控制型IF语句时,首先判断语句中所指定的条件是否成立。如果条件成立,则程序继续执行IF语句中所含的顺序处理语句;如果条件不成立,程序将跳过IF语句所包含的顺序处理语句,而向下执行IF的后继语句。,例: 利用IF语句引入D触发器 LIBRARY IE

10、EE; USE IEEE. STD_LOGIC_1164.ALL; ENTITY dff IS PORT(clk,d:IN STD_LOGIC; q:OUT STD_LOGIC); END dff; ARCHITECTURE rtl OF dff IS BEGIN PROCESS (clk) BEGIN IF (clkEVENT AND clk=1) THEN q = d; END IF; END PROCESS; END rtl;,2.二选一控制语句 这种语句的书写格式为: TF 条件 THEN 顺序语句 ELSE 顺序语句 END IF;,当IF条件成立时,程序执行THEN和ELSE之间的

11、顺序语句部分;当IF语句的条件得不到满足时,程序执行ELSE和END IF之间的顺序处理语句。即依据IF所指定的条件是否满足,程序可以进行两条不同的执行路径。,例:二选一电路结构体的描述 ARCHITECTURE rtl OF mux2 IS BEGIN PROCESS (a,b,s) BEGIN IF (s =1) THEN c = a; ELSE c = b; END IF; END PROCESS; END rtl;,3.多选择控制语句 这种语句的书写格式为: IF 条件 THEN 顺序语句 ELSEIF 顺序语句 ELSEIF 顺序语句 ELSE 顺序语句 END IF;,这种多选择控

12、制的IF语句,实际上就是条件嵌套。它设置了多个条件,当满足所设置的多个条件之一时,就执行该条件后的顺序处理语句。当所有设置的条件都不满足时,程序执行ELSE和END IF之间的顺序处理语句。,例: 利用多选控制语句设计的四选一多路选择器 LIBRARY IEEE; USE IEEE. STD_LOGIC_1164.ALL; ENTITY mux4 IS PORT(input:IN STD_LOGIC_VECTOR (3 DOWNTO 0); sel:IN STD_LOGIC_VECTOR (1 DOWNTO 0); y:OUT STD_LOGIC); END mux4;,ARCHITECTUR

13、E rtl OF mux4 IS BEGIN PROCESS (input,sel) BEGIN IF (sel=“00”) THEN y= input(0); ELSIF(sel=“01”)THEN y= input(1); ELSIF(sel=“10”)THEN y= input(2); ELSE y= input(3); END IF; END PROCESS; END rtl;,4.1.5 CASE语句 CASE语句根据满足的条件直接选择多项顺序语句中的一项执行,它常用来描述总线行为、编码器、译码器等的结构。 CASE语句的结构为: CASE 表达式 IS WHEN 条件选择值 = 顺

14、序语句, WHEN 条件选择值 = 顺序语句, END CASE; 其中WHEN条件选择值可以有四种表达方式; (1)单个普通数值,形如WHEN 选择值 = 顺序语句; (2)并列数值,形如WHEN 值/值/值 = 顺序语句; (3)数值选择范围,形如WHEN 值TO值 = 顺序语句; (4)WHEN OTHERS = 顺序语句;,当执行到CASE语句时,首先计算CASE和IS之间的表达式的值,然后根据条件语句中与之相同的选择值,执行对应的顺序语句,最后结束CASE语句。,使用CASE语句需注意以下几点: CASE语句中每一条语句的选择值只能出现一次,即不能有相同选择值的条件语句出现。 CAS

15、E语句执行中必须选中,且只能选中所列条件语句中的一条,即CASE语句至少包含一个条件语句。 除非所有条件语句中的选择值能完全覆盖CASE语句中表达式的取值,否则最末一个条件语句中的选择必须用“OTHERS”表示,它代表已给出的所有条件语句中未能列出的其他可能的取值。关键词OTHERS只能出现一次,且只能作为最后一种条件取值。使用OTHERS是为了使条件语句中的所有选择值能覆盖表达式的所有取值,以免综合过程中插入不必要的锁存器。这一点对于定义为STD_LOGIC和STD_LOGIC_VECTOR数据类型的值尤为重要,因为这些数据对象的取值除了1、0之外,还可能出现输入高阻态Z,不定态X等取值。,

16、例1 CASE语句使用 CASE command IS WHEN “00”= c c c c NULL; -无效 END CASE; 例2 CASE语句使用 CASE sel IS WHEN 1TO 9 = c c c = 3; END CASE; 在例2中,第一个WHEN语句的意思是当sel 的值是从1到9 中任意一个数值时,信号c的值取1;第二个WHEN语句的意思是当sel 的值为11或12两者之一时,信号 c 的取值为2;第三个WHEN语句的意思是当sel 的值不为前面两种情况时,信号c 的取值为3。,-适合4选1数据选择器,例3 3-8译码器。 LIBRARY IEEE; USE IEEE. STD_LOGIC_1164.ALL; ENTITY decoder3_8 IS PORT(a,b,c,g1,g2a,g2b:IN STD_LOGIC; y:OUT

展开阅读全文
相关资源
相关搜索

当前位置:首页 > 高等教育 > 大学课件

电脑版 |金锄头文库版权所有
经营许可证:蜀ICP备13022795号 | 川公网安备 51140202000112号