基于vhdl交通灯设计与实现

上传人:第*** 文档编号:61593247 上传时间:2018-12-05 格式:DOC 页数:24 大小:402.50KB
返回 下载 相关 举报
基于vhdl交通灯设计与实现_第1页
第1页 / 共24页
基于vhdl交通灯设计与实现_第2页
第2页 / 共24页
基于vhdl交通灯设计与实现_第3页
第3页 / 共24页
基于vhdl交通灯设计与实现_第4页
第4页 / 共24页
基于vhdl交通灯设计与实现_第5页
第5页 / 共24页
点击查看更多>>
资源描述

《基于vhdl交通灯设计与实现》由会员分享,可在线阅读,更多相关《基于vhdl交通灯设计与实现(24页珍藏版)》请在金锄头文库上搜索。

1、华中科技大学基于xilinx FPGA的VHDL交通灯控制器的设计-基于Spartan3E开发板专业: 电子信息工程1. 任务设计要求 设计一个十字路口交通信号灯的定时控制电路。要求红、绿灯按一定的规律亮和灭,并在亮灯期间进行倒计时,并将运行时间用数码管/液晶显示出来。绿灯亮时,为该车道允许通行信号,红灯亮时,为该车道禁止通行信号。要求主干道每次通行时间为99秒,支干道每次通行时间为30秒。每次变换运行车道前绿灯闪烁,持续时间为5秒。即车道要由主干道转换为支干道时,主干道在通行时间只剩下5秒钟时,绿灯闪烁显示,支干道仍为红灯,以便主干道上已过停车线的车继续通行,未过停车线的车停止通行。同理,当

2、车道由支干道转换为主干道时,支干道绿灯闪烁显示5秒钟,主干道仍为红灯。 对红、绿灯的运行时间要能比较方便的进行重新设置。 对器件进行在系统编程和实验验证。用VHDL语言对设计进行描述,设计一个测试方案,通过ISE对设计进行仿真验证。并能够下载到实验板上调试成功。任务扩展:在原设计的基础上加入指示方向的功能。2. 系统设计1.系统原理图与说明由系统设计原理图,我以清楚地将系统分为六个模块:分频模块,时间设置模块,状态转换模块,时间计算模块,LED流水灯模块,LCD显示模块。1)分频模块 分频模块其作用为:由于Spartan3E板上提供的时钟信号为50MHz,而设计所需时钟信号为1Hz,故使用分频

3、模块将50MHz信号分频为1Hz信号。2)时间置数模块由于任务设计要求可以对主干道,支干道上左转、绿灯运行的时间进行重新设置调整,所以要对系统进行参数化设计。首先引入一组参数,main_gh,main_gl,main_lh,main_ll,branch_gh,branch_gl,branch_lh,branch_ll(下划线后面的字母分别取green,left,high,low首字母).需要置数时,首先选择对主干道还是支干道时间置数,这里设置一个main_or_branch参数,当main_or_branch为高时设置支干道时间,为低时设置主干道时间。置数时,通过s_set_button,l_

4、set_button对时间进行设置,具体方法参见代码。为了置数方便,引入一个add_or_decent参数,低电平时按下button可以增计数,高电平时按下可以减计数。3)状态转换模块状态转化模块是整个系统的核心模块它控制整个交通灯系统的状态变化,整个过程划分为四个基本状态:主干道绿灯、主干道左转、支干道绿灯、支干道左转,用state、s_or_l为00、01、10、11来代表。每当一个状态的计数器为00时,state、s_or_l发生改变,以实现状态间的转换,进而控制交通灯的变化。4)时间计算模块这次设计中扩展了左转向的功能,因此红灯时间不仅仅是另一干道的直行时间,而是直行时间和左转时间之和

5、。5)LCD显示模块Spartan3E板上只有LCD显示模块,所以采用此模块显示当前亮灯的剩余时间和设置时间模块的时间显示。通过输入counterplay_1l,counterplay_1h,counterplay_2l,counterplay_2h四位二进制数,加上0011显示成十进制数,分别代表两位数的低位和高位6)LED显示模块由输入信号state、s_or_l、flash,分别取000,001,010,011,100,101,110,111所得到的main_green,main_left,main_red,branch_left,branch_green,branch_red的不同值,

6、来控制主干道,支干道红绿左转灯的亮灭。其中 1表示亮,0表示灭。如表3-1 所示。由上表可得到: main_green = NOT(state) AND NOT(s_or_l) AND (NOT(flash AND clk); main_left = NOT(state) AND s_or_l AND (NOT(flash AND clk); main_red = state; branch_green = state AND NOT(s_or_l) AND (NOT(flash AND clk); branch_left = state AND s_or_l AND (NOT(flash A

7、ND clk); branch_red = NOT(state);2.输入输出设计任务设计开发板基于Spartan3E板,具体输入输出设定如下:1)输入: 开关:main_or_branch:设置主干道还是支干道 EN:使能信号 run_or_set:设置运行模式还是时间设置模式 add_or_decent:置数模式:增加或者减少 按键:s_set_button:直行时间设置按键 l_set_button: 左转时间设置按钮 时钟:clk2) 输出: LCD显示屏:分别显示当前亮灯的剩余秒数 LED灯:main_green,main_red,main_left branch_left,bran

8、ch_green,branch_red3、状态转换图S0状态:主干道绿灯、支干道红灯S1状态:主干道左转、支干道红灯S2状态:支干道绿灯、主干道红灯S3状态:支干道左转、主干道红灯三各模块代码以及仿真波形u 分频模块代码因为1HZ波形太长,不易仿真,故仿真波形采用100HZ的输入clk :50MHZ输出clk1:100HZu 时间设置模块部分代码以上是直行时间设置代码。左转设置类似,在此不再复制仿真 设置 输入:clk main_or_branch 设置为0 add_or_decent 设置为0 s_set_button 设置为周期为1周期的信号 l_set_button 设置为低电平波形如下

9、u 时间计算模块代码波形仿真输入输出与预期相符合。u 状态转换模块波形仿真分别给主干道,支干道个颜色灯持续时间赋值,再给定,当前亮灯的剩余时间,然后又进行仿真,结果符合预期u LED模块代码波形仿真分别给予输入不同周期的高低点评,相互叠加的结果符合预期。u LCD模块代码library IEEE;use IEEE.STD_LOGIC_1164.ALL;use IEEE.STD_LOGIC_ARITH.ALL;use IEEE.STD_LOGIC_UNSIGNED.ALL;entity LCD isport(clk : in STD_LOGIC;rst_n : in STD_LOGIC;coun

10、ter_play1h, counter_play1l : in STD_LOGIC_VECTOR(3 downto 0); counter_play2h, counter_play2l : in STD_LOGIC_VECTOR(3 downto 0); -degree1, degree2 : in STD_LOGIC_VECTOR(3 downto 0); SF_D : out STD_LOGIC_VECTOR(3 downto 0);LCD_E, LCD_RS, LCD_RW: out STD_LOGIC);end LCD;architecture behavior of LCD isty

11、pe tx_sequence is (high_setup, high_hold, oneus, low_setup, low_hold, fortyus, done); signal tx_state : tx_sequence := done; signal tx_byte : std_logic_vector(7 downto 0);signal tx_init : std_logic := 0;type init_sequence is (idle, fifteenms, one, two, three, four, five, six, seven, eight, done);sig

12、nal init_state : init_sequence := idle;signal init_init, init_done : std_logic := 0;signal i : integer range 0 to 750000 := 0;signal i2 : integer range 0 to 2000 := 0;signal i3 : integer range 0 to 82000 := 0;signal SF_D0, SF_D1 : std_logic_vector(3 downto 0);signal LCD_E0, LCD_E1 : std_logic;signal

13、 mux : std_logic;-?type display_state is (init, function_set, entry_set, set_display, clr_display, pause, set_addr,max_degree_1,max_degree_2,temperature_1,temperature_2,degree_1,degree_2,blank1,blank2,blank3);signal cur_state : display_state := init;begin-LED = tx_byte; -for diagnostic purposes-SF_C

14、E0 = 1; -disable intel strataflashLCD_RW = 0; -write only-The following with statements simplify the process of adding and removing states.-when to transmit a command/data and when not towith cur_state selecttx_init = 0 when init | pause , 1 when others;-control the buswith cur_state selectmux = 1 when init, 0 when others;-control the initialization sequencewith cur_state selectinit_init = 1 when init, 0 when others;-register select

展开阅读全文
相关资源
相关搜索

当前位置:首页 > 高等教育 > 大学课件

电脑版 |金锄头文库版权所有
经营许可证:蜀ICP备13022795号 | 川公网安备 51140202000112号