微电子制造概论-数字电路设计

上传人:ji****n 文档编号:54811272 上传时间:2018-09-19 格式:PPT 页数:47 大小:1.84MB
返回 下载 相关 举报
微电子制造概论-数字电路设计_第1页
第1页 / 共47页
微电子制造概论-数字电路设计_第2页
第2页 / 共47页
微电子制造概论-数字电路设计_第3页
第3页 / 共47页
微电子制造概论-数字电路设计_第4页
第4页 / 共47页
微电子制造概论-数字电路设计_第5页
第5页 / 共47页
点击查看更多>>
资源描述

《微电子制造概论-数字电路设计》由会员分享,可在线阅读,更多相关《微电子制造概论-数字电路设计(47页珍藏版)》请在金锄头文库上搜索。

1、微电子制造概论,-数字电路设计概述,数字电路基础,引例:如何设计一个4位二进制数加法器? 2进制数是什么? 0,1; 逢二进一; 4位二进制数的加法: (0110)2+(1011)2 = (0001)2,1位二进制加法器,不带进位的情况:(两个输入,两个输出) 0+0 = 0,0 0+1 = 1,0 1+0 = 1,0 1+1 = 0,1 带进位的情况 : (三输入,两输出) 0+0+0 = 0, 0 0+0+1 = 1, 0 0+1+0 = 1, 0 0+1+1 = 0, 1 1+0+0 = 1, 0 1+0+1 = 0, 1 1+1+0 = 0, 1 1+1+1 = 1, 1,逻辑代数,在

2、正逻辑中:,1 表示条件具备、开关接通、高电平等。,0 表示条件不具备、开关断开、低电平等。,逻辑代数开关代数布尔代数。,用来解决数字逻辑电路的分析与设计问题。,参与逻辑运算的变量叫逻辑变量,用字母A,B表示。每个变量的取值非0 即1。 0、1不表示数的大小,而是代表两种不同的逻辑状态。,逻辑代数的三种基本运算,2、与逻辑真值表,3、与逻辑函数式,4、与逻辑符号,5、与逻辑运算,A B,Y,0 0,0 1,1 0,1 1,0,0,0,1,一、与逻辑运算(AND),1、与逻辑定义,当决定某一事件的所有条件都具备时,事件才能发生。这种决定事件的因果关系称为“与逻辑关系”。,逻辑代数的三种基本运算,

3、二、 或运算(OR),当决定某一事件的一个或多个条件满足时,事件便能发生。这种决定事件的因果关系称为“或逻辑关系”。,A B,0 1,1 0,1 1,Y,0,1,1,1,2、或逻辑真值表,3 、 或逻辑函数式,4 、 或逻辑符号,Y=A+B,0+0=0; 0+1=1; 1+0=1; 1+1=1,5、或逻辑运算,1、或逻辑定义,0 0,逻辑代数的三种基本运算,三、 非运算(NOT),条件具备时,事件不能发生;条件不具备时事件一定发生。这种决定事件的因果关系称为“非逻辑关系”。,5 、 非逻辑运算,4、 非逻辑符号,3 、非逻辑函数式,2、非逻辑真值表,A,Y,0,1,1,0,1 、非逻辑定义,几

4、种最常见的复合逻辑运算,1 、 与非,2 、 或非,3 、 同或,4 、 异或,CMOS门电路,基本电路用TP管和TN管构成。,输入脉冲幅度通常为VDD。,VA,0V,TP TN,UY,VDD,真值表,当UI,VDD/2 时,= U0L 0,当UI,VDD/2 时,= U0H VDD,表达式,Y=A,U0,U0,其阈值电平VTH = VDD/2,0,VDD,VDD,截止,截止,导通,导通,0,TN,TP,+VDD,A,Y,VDD/2,VDD,VDD/2,VDD,一. 电路结构,二.工作原理,三. 电压传输特性,TP,A,CMOS反向器的工作原理,CMOS门电路,CMOS与非门(以二输入为例),

5、1、 组成,两TP管在上,并联;,两TN管在下,串联;,2、工作原理,只有当AB同为1、 使串联的TN管同时导通时 ,输出才为0, 其它情况输出为1。,A B,0 0,0 1,1 0,1 1,T3P T1P T2N T4N,Y,B,A,功能特点:,3、输出逻辑表达式,1,1,1,0,通,通,通,通,通,通,通,通,止,止,止,止,止,止,止,止,CMOS门电路,3、输出逻辑表达式:,Y=AB,1、 组成,两TP管在上,串联;,两TN管在下,并联;,2、工作原理,只有当AB同为0、 使串联的TP管同时导通时 ,输出才为1, 其它情况输出为0。,功能特点:,A B,0 0,0 1,1 0,1 1,

6、T3P T1P T2N T4N,Y,B,A,通止通止,通通止止,止止通通,止通止通,1,0,0,0,=A+B,CMOS或非门(以二输入为例),CMOS门电路,A B L,0 0 0,0 1 1,1 0 1,1 1 0,1,1,0,0,0,1,1,1,1,1,所以有:,3. CMOS “异或” 门电路,逻辑代数的基本公式和常用公式,10,1,0A=0,11,1+A=1,2,1A=A,12,0+A=A,3,AA=A,13,A+A=A,4,14,5,AB=BA,15,A+B=B+A,6,A(BC)=(AB)C,16,A+(B+C)=(A+B)+C,7,A(B+C)=AB+AC,17,A+BC=(A+

7、B)(A+C),8,18,9,19,逻辑函数及其表示方法,例:某一逻辑电路,对输入两路信号A、B进行比较,,一、真值表表示法,A,B,Y,0 0,0 1,1 0,1 1,0,1,1,0,真值表表示法、,逻辑函数式表示法、,逻辑图表示法、,波形图表示法、,卡诺图表示法等。,试表示其逻辑关系。,A、B相异时,输出为1;相同 时,输出0。,输 入,输出,(状态表表示法),逻辑函数及其表示方法,二、逻辑函数式表示法,(一) 最小项,1、二变量的全部最小项,A B,最小项,编号,0 0,0 1,1 0,1 1,A B,m0,m1,m2,m3,2、三变量的全部最小项,A B C,最小项,编号,0 0 0,

8、0 0 1,0 1 0,0 1 1,1 0 0,1 0 1,1 1 0,1 1 1,m0,A B C,m1,m2,m3,m4,m5,m6,m7,3、四变量的全部最小项,编号为 m0 m15,在 n 变量逻辑函数中,若 m 是包含 n 个因子的乘项积,而且这n个变量均以原变量或反变量的形式在 m 中出现一次,则称m 为该组变量的最小项。,(略),逻辑函数及其表示方法,在真值表中,将为“1”的输出逻辑值所对应的输入变量的最小项相加,即得对应的函数式。,(二) 逻辑函数式表示法,Y=,+,已知:,所以:,三、 逻辑图表示法,A,B,Y,=,m1,+,m2,=, ( m1 , m2 ),四、 波形图表

9、示法,A,B,Y,五、卡诺图表示法,1位二进制加法器,状态图(真值表),器件图,逻辑式表示:,1位二进制加法器,4位二进制全加器,集成电路设计原理,设计流程 版图设计 系统设计,集成电路设计流程,版图设计,基本尺寸确定 最小光刻孔,决定引线和电阻条的尺寸 最小套刻间距 隔离墙尺寸 各元件的图形和尺寸 布局:考虑散热等因素 基于“”的设计规则和“按比例缩小原则”,MOS集成电路的版图设计,NMOS 反相器版图 1、N扩散层(棕色) 2、金属层(蓝色) 3、多晶硅层(红色) 4、离子注入区(黄色) 5、接触孔(深色) 6、氧化层(绿色),MOS集成电路的版图设计,基本电路单元 反向门 与非门 或非

10、门 绘制版图: 先绘制金属(蓝色)电源(Udd)和地线(GND) 绘制薄氧化层(绿色)为绝缘层(划分逻辑电路) 电源线和信号线用金属(蓝色)画出 绘制多晶硅形成控制线路,MOS集成电路的版图设计,双极型集成电路的版图设计,双极型集成电路的版图设计,双极型集成电路的版图设计,版图设计要点: 在隔离区的划分 散热考虑,放边并在热对角线上 在管芯外围进行隔离扩散,形成“包地线”提高抗干扰能力 主要以NPN管为主 电容由PN结电容和MOS电容实现 电阻是用扩散层和扩散层之间的沟道实现,即基区扩散电阻和沟道电阻,微电子系统设计,设计方法 全定制设计方法:FCDA 定制设计方法:CDA 标准单元法:Pol

11、ycell 通用单元法:Building Block 半定制设计法:SCDA 门阵列:gate array 门海: Sea of gate 可编程逻辑器件法:PLD 混合设计法 硅编译法:Silicon Compiler,微电子系统设计,电路设计种类 通用微处理器电路 通用存储器电路 标准逻辑电路 ASIC:专用集成电路,ASIC常用设计方法,门阵列法,ASIC常用设计方法,可编程阵列逻辑(PAL)和可编程逻辑器件(PLD),ASIC常用设计方法,现场可编程门阵列: Field Programmable gate Array 种类: EPLD 和 CPLD 一次性和可重复性写入 一次性:逆熔丝

12、技术 可重复性:查找表(Look-Up-Table,LUT)原理,采用LUT原理的FPGA,硅编译技术,VHDL Verilog,ARCHITECTURE rtl OF adder4b IS signal sint: std_logic_vector(4 downto 0); signal aa,bb: std_logic_vector(4 downto 0); BEGINaa=0,LIBRARY ieee; USE ieee.std_logic_1164.ALL; use ieee.std_logic_unsigned.all;ENTITY adder4b IS PORT ( cin: IN

13、 std_logic; a,b: IN std_logic_vector(3 downto 0); s: out std_logic_vector(3 downto 0); cout: OUT std_logic ); END adder4b;,module counter (count, clk, reset); output 7:0 count; input clk, reset;reg 7:0 count; parameter tpd_clk_to_count = 1; parameter tpd_reset_to_count = 1;,function 7:0 increment; i

14、nput 7:0 val; reg 3:0 i; reg carry; begin increment = val; carry = 1b1; /* * Exit this loop when carry = zero, OR all bits processed */ for (i = 4b0; (carry = 4b1) | (i = 7); i = i+ 4b1) begin incrementi = vali carry; carry = vali end end endfunction,always (posedge clk or posedge reset) if (reset)

15、count = #tpd_reset_to_count 8h00; else count = #tpd_clk_to_count increment(count);/* * To make module counter synthesizeable, use the following * alternate form of the always block: */ /* always (posedge clk or posedge reset) if (reset) count = 8h00; else count = count + 8h01; */endmodule,作业2,某电动机由三个开关共同控制:电源开关S1,过载保护开关S2,安全开关S3,任何开关断开,就导致电机停转,全闭合时电机开动,写出真值表,逻辑关系式,逻辑图和CMOS门电路图 简述什么是基于“”的设计规则?为什么可以使用这个规则来设计电路?,

展开阅读全文
相关资源
相关搜索

当前位置:首页 > 中学教育 > 初中教育

电脑版 |金锄头文库版权所有
经营许可证:蜀ICP备13022795号 | 川公网安备 51140202000112号