18春福师《EDA技术》在线作业一

上传人:电大****团队 文档编号:50663793 上传时间:2018-08-09 格式:DOCX 页数:7 大小:14.22KB
返回 下载 相关 举报
18春福师《EDA技术》在线作业一_第1页
第1页 / 共7页
亲,该文档总共7页,到这儿已超出免费预览范围,如果喜欢就下载吧!
资源描述

《18春福师《EDA技术》在线作业一》由会员分享,可在线阅读,更多相关《18春福师《EDA技术》在线作业一(7页珍藏版)》请在金锄头文库上搜索。

1、18 春福师EDA 技术在线作业一1、ABCD 2、AB 3、ABC 4、ABCD 5、ABC 一、多选题共 10 题,20 分1、基于 FPGA/CPLD 器件的数字系统设计流程包括哪些阶段()。 A 设计输入 B 综合 C 布局布线 D 仿真和编程正确答案是:ABCD2、基于 EDA 技术的设计中,通常有两种设计思路()。 A 自顶向下B 自底向上 C 自前向后 D 自后向前 正确答案是:AB3、综合有哪几种形式()。ARTL B 逻辑综合 C 将逻辑门表示转换到版图表示正确答案是:ABC4、ASIC 电路特点描述正确的是()。 A 周期长B 投入高 C 功耗低 D 省面积正确答案是:AB

2、CD5、下面哪些是专业提供 PLD 器件厂商()。AXilinx BAltera CLattice DMicsoftware 正确答案是:ABC6、用 PLD 器件实现设计的优势有哪些()?A 周期短 B 投入少 C 风险小 D 对于成熟的设计往往采用 PLD正确答案是:ABC7、目前的 EDA 技术主要特点有哪些()。A 使用普及 B 应用广泛 C 工具多样 D 软件功能强大正确答案是:ABCD8、常用的综合工具有哪些()。AFPGA Express BFPGA compiler CSynplify Pro 正确答案是:ABC9、TOP-down 设计一般分为哪几个层次()。 A 系统级 B

3、 功能级 C 门级D 开关级正确答案是:ABCD10、常用的集成 FPGA/CPLD 开发工具有哪些()。AMAX+plus II BQuartus II CISE DispLEVER 正确答案是:ABCD二、判断题共 40 题,80 分1、对设计而言,采用的描述级别越高,设计越容易。 A 错误B 正确 正确答案是:B2、IP 核中的硬核可靠性高,能确保性能,能够很快投入使用。 A 错误B 正确 正确答案是:B3、目前在数字系统的设计中,主要采用 Bottom-UP 设计为主。 A 错误B 正确 正确答案是:A4、仿真器按对设计语言的不同处理方式分为两类:编译型仿真器和解释型仿真器。 A 错误

4、B 正确 正确答案是:B5、状态机设计主要包含三个对象:当前状态,次状态和输出逻辑。 A 错误B 正确 正确答案是:B6、Verilog HDL 语法要素与软件编程语言(如 C 语言)是完全相同的。 A 错误B 正确 正确答案是:A7、在 IC 设计领域中,IP 核一般完成某种功能的设计模块。 A 错误B 正确 正确答案是:B8、CAD 是 Computer Aided Design,计算机辅助设计的缩写。 A 错误B 正确 正确答案是:B9、Verilog HDL 和 VHDL 目前还都不是 IEEE 标准。 A 错误B 正确 正确答案是:A10、IP 核中的软核与生产工艺无关,不涉及物理实

5、现,为后续设计留有很大空间。 A 错误B 正确 正确答案是:B11、SOC 是指把一个完整的系统集成在一个芯片上。 A 错误B 正确 正确答案是:B12、Verilog HDL 不支持条件语句。 A 错误B 正确正确答案是:A13、浮栅编程元件一般用在民用、消费类产品中。 A 错误B 正确 正确答案是:B14、Verilog HDL 中的变量一般分为两种数据类型:net 型和 variable 型。 A 错误B 正确 正确答案是:B15、把适配后生成的编程文件装入到 PLD 器件中的过程称为下载。 A 错误B 正确 正确答案是:B16、Verilog HDL 中实数型和字符串型常量是可以综合的

6、。 A 错误B 正确 正确答案是:A17、绝大多数的 FPGA 器件都基于 SRAM 查找表结构实现。 A 错误B 正确 正确答案是:B18、Verilog 语言即适合可综合的电路设计,也可胜任电路与系统的仿真。 A 错误B 正确 正确答案是:B19、Alter 的 FPGA 器件主要由两类配置方式:主动配置方式和被动配置方式。 A 错误B 正确 正确答案是:B20、PLD 按照可编程的次数分为两类:一次性编程器件和可多次编程器件。 A 错误B 正确 正确答案是:B21、PLD 是 Programmable Logic Device,可编程逻辑器件的缩写。 A 错误B 正确 正确答案是:B22

7、、GAL 是 Generic Array Logic,通用阵列逻辑的缩写。 A 错误B 正确 正确答案是:B23、综合指的是将较高级抽象层次的设计描述自动转化为较低层次描述的过程。 A 错误B 正确 正确答案是:B24、EDA 是 Electronic Design Automation,电子设计自动化的缩写。 A 错误B 正确 正确答案是:B25、Verilog HDL 支持条件运算符。 A 错误B 正确 正确答案是:B26、目前常用的硬件描述语言为:Verilog HDL 和 VHDL。 A 错误B 正确 正确答案是:B27、HDL 是 Hardware Description Langu

8、age,硬件描述语言的缩写。 A 错误B 正确 正确答案是:B28、JTAG 边界扫描测试技术提供了一种合理而有效的方法,用以对高密度、引脚密集的器 件和系统进行测试。 A 错误B 正确 正确答案是:B29、CPLD 和 FPGA 都属于高密度可编程逻辑器件。 A 错误B 正确 正确答案是:B30、PLD 器件内部主要由各种逻辑功能部件和可编程开关构成。 A 错误B 正确 正确答案是:B31、布局布线为将综合生成的电路逻辑网表映射到具体的目标器件中实现,并产生最终的可 下载文件的过程。 A 错误B 正确 正确答案是:B32、Verilog 程序的基本设计单元是“模块”( module)。 A

9、错误B 正确 正确答案是:B33、ASIC 是专用集成电路的缩写。 A 错误B 正确 正确答案是:B34、IP 是 Intellectual Property 的缩写。 A 错误B 正确 正确答案是:B35、Quartus II 是 Xilinx 的 FPGA/CPLD 的集成开发工具。 A 错误B 正确 正确答案是:A36、Verilog HDL 支持赋值语句。 A 错误B 正确 正确答案是:B37、仿真是 EDA 的精髓所在。 A 错误B 正确 正确答案是:B38、PLA 是 Programmable Logic Array,可编程逻辑阵列的缩写。 A 错误B 正确正确答案是:B39、有限状态机非常适合于数字系统的控制模块。 A 错误B 正确 正确答案是:B40、混合仿真器就是能同时支持 Verilog 和 VHDL 的仿真器。 A 错误B 正确 正确答案是:B

展开阅读全文
相关资源
相关搜索

当前位置:首页 > 高等教育 > 大学课件

电脑版 |金锄头文库版权所有
经营许可证:蜀ICP备13022795号 | 川公网安备 51140202000112号