实验四二输入与门

上传人:宝路 文档编号:47910346 上传时间:2018-07-06 格式:PPT 页数:11 大小:181.33KB
返回 下载 相关 举报
实验四二输入与门_第1页
第1页 / 共11页
实验四二输入与门_第2页
第2页 / 共11页
实验四二输入与门_第3页
第3页 / 共11页
实验四二输入与门_第4页
第4页 / 共11页
实验四二输入与门_第5页
第5页 / 共11页
点击查看更多>>
资源描述

《实验四二输入与门》由会员分享,可在线阅读,更多相关《实验四二输入与门(11页珍藏版)》请在金锄头文库上搜索。

1、实验四 二输入与非门的VHDL设计一、任务分析 1 任务描述用VHDL设计实现一个二输入与非门。二输入与非 门是常用的门电路之一,其逻辑表达式为Y= 其中,Y为与非门的输出,A、B为输入。2 任务分析为实现设计,要掌握VHDL中逻辑运算符的应用 ,并对VHDL程序结构中的实体和结构体等语法现 象有初步的认识。2.1 VHDL中的逻辑运算符VHDL中的逻辑运算符共有7种,分别为;NOT 取反;AND 与;OR 或;NAND与非;NOR 或非;XOR 异或;XNOR同或。二、知识准备二、知识准备2 VHDL程序的一般结构小到一个元件、一个电路,大到一个系统,都可 以用VHDL描述其结构、行为、功能

2、和接口。编程时,VHDL将一项工程设计(或称设计实体)分 成“外部端口”和“内部结构、功能及其实现算法 ”两大部分进行描述。一个设计实体的内、外部都设计完成后,其他实 体就可以像调用普通元件一样直接调用它。器件and2的外部引脚说明,这部分称为实体 ENTITY and2 IS -实体名称为and2 PORT(a,b : IN BIT;-a,b为实体的两个外部输入引脚y : OUT BIT);-y为输出引脚END and2;器件 and2的 外部功 能描述 ,这部 分称为 实体ARCHITECTURE behave OF and2 IS-结构体部分,描述实体的内部功能 BEGINy=A and

3、 b; END behave;器件and2 的内部功 能描述, 这部分称 为结构体 由此可见:实体(Entity)、结构体 (Architecture)是组成VHDL程序的两个最基本结构 。“实体”说明部分给出了器件的输入/输出引脚 (PORT)的外部说明,包括引脚的IO类型和数据类型 。“结构体”部分给出了该器件的内部功能信息。对 二输入与门这类简单电路只需说明输出信号与输入 信号之间的逻辑关系即可。3 任务实施1 创建一个新的文本文件(1) 启动MAX+plus,选择菜单命令File | New, 弹出New对话框,如图所示。选中Text Editor file 单选按钮,再单击OK按钮,

4、打开文本编辑窗口。(2) 选择菜单命令File | Save As,弹出Save As对话框,如右 图所示。在File Name文本框中 输入文件名nand2.vhd,并选择 好文件的保存目录。注意:文件的保存目录(路径) 字符串中不能包含中文字符, 保存的文件名必须与设计实体 名一致,否则无法编译。2 输入设计项目并将其设为当前项目(1) 根据设计思路和预备知识,在文本编辑窗中设计 输入二输入与非门的VHDL代码并实时保存。参考代码 见课本39页。(2) 选择菜单命令File | Project | Set Project to Current File,将当前的设计文件指定为当前项 目。(3) 保存文件并检查错误。选择菜单命令File | Project | Save&Check,保存文件并检查设计输入中 的错误。3.适配编译(1) 选择目标器件。(2) 编译。 4.项目时序仿真 5.引脚锁定 6.编程下载,硬件测试 以上四个步骤与图形法类似,故省略。

展开阅读全文
相关资源
正为您匹配相似的精品文档
相关搜索

最新文档


当前位置:首页 > 高等教育 > 大学课件

电脑版 |金锄头文库版权所有
经营许可证:蜀ICP备13022795号 | 川公网安备 51140202000112号