基于ad9851的dds信号源发生器

上传人:Bod****ee 文档编号:47497811 上传时间:2018-07-02 格式:DOC 页数:49 大小:1.38MB
返回 下载 相关 举报
基于ad9851的dds信号源发生器_第1页
第1页 / 共49页
基于ad9851的dds信号源发生器_第2页
第2页 / 共49页
基于ad9851的dds信号源发生器_第3页
第3页 / 共49页
基于ad9851的dds信号源发生器_第4页
第4页 / 共49页
基于ad9851的dds信号源发生器_第5页
第5页 / 共49页
点击查看更多>>
资源描述

《基于ad9851的dds信号源发生器》由会员分享,可在线阅读,更多相关《基于ad9851的dds信号源发生器(49页珍藏版)》请在金锄头文库上搜索。

1、学号:070275070 河南大学 2011 届本科毕业论文基于 AD9851 的 DDS 信号源发生器DDS Signal Generator based on AD9851论文作者姓名: 魏登明 作 者 学 号: 070275070 所 在 学 院: 计算机与信息工程学院 所 学 专 业: 自动化 导师姓名职称: 赵建军(教授) 论文完成时间: 2011 年 5 月 10 日 2011 年 5 月 10 日开题报告河南大学河南大学 20112011 届毕业设计(论文、创作)开题报告届毕业设计(论文、创作)开题报告(学生本人填写)学号070275070姓名魏登明导师姓 名职称赵建军(教授)开

2、题时间2011 年 4 月 3 日课题题目基于 AD9851 的 DDS 信号源发生器课题来源导师指定 自定 其他来源课题的目的、意义以及和本课题有关的国内外现状分析:1目的:合理的使用 DDS 技术,设计一种结构简单性能优良的信号发生器。2意义:基于 DDS 的各种优点,在信号发生和频率合成方面有广阔的研究和发展前景。3现状:运用 DDS 技术的信号发生器已经广泛的用于信号源中,不仅能产生四种传统波形,而且还能编辑任意波形,同时输出波形的频率分辨率、频率精度等指标也有很大的提高。研究目标、研究内容和准备解决的问题:1目标:通过设计一个基于 AD9851 的信号源发生器,实现按键调控输出三种波

3、形,并且得到仿真结果。2内容:通过 AD9851 输出频率可调,频率稳定的正弦波、方波、三角波三种波形,并实现 LCD 液晶显示。3准备解决的问题:如何实现用按键控制程序的读入,从而实现通过按键来控制输出的波形。开题报告拟采取的方法、技术或设计(开发)工具:Keil 编写控制程序,先进行计算机与模块的串口通信的调试,之后设计出液晶显示以及单片机与 AD9851 模块通信的电路图,之后编写控制程序,利用仿真工具 Proteus 进行仿真。开发工具和语言:开发工具拟采用 protel99se、仿真工具 proteus7.2,语言采用 C预期成果: 1源程序 2Proteus 仿真3. 论文进度计划

4、与阶段要求:(1)2010-12-01 2011-12-31:查找资料、确定毕业设计题目。2011-01-01:将毕业设计题目和基本功能说明发送到导师邮箱。(2)2011-01-02 2011-03-31:搜集素材、整理设计内容。2011-04-01:将开题报告和整理的设计内容发送到导师邮箱。(3)2011-04-02 2011-04-09:根据导师的建议和指导,继续设计。2011-04-10:将中期检查表和修改后的设计内容发送到导师邮箱。(4)2011-04-11 - 2011.04.20:根据导师的建议和指导,完成设计。2011-04-21:将完成的毕业设计内容发送到导师邮箱。(5)201

5、1-04-22 - 2011-05-05:根据导师建议和已经完成的设计,完成论文初稿。2011-05-06:将毕业论文初稿发送到导师邮箱。(6)2011-05-07 - 2011-05-13:根据导师对论文的修改建议和指导,完成论文。2011-05-14:将论文终稿发送到导师邮箱。开题报告开题前收集的资料和参考文献(5-8 种)1 刘抒珍,童子权,任丽军,刘小红.DDS 波形合成技术中低通椭圆滤波器的设计.哈尔滨理工大学学报,2004,92 刘伟. 基于 AD9851 芯片的信号发生器的研究. 苏州大学出版社,2002.43 王庆Protel99SEfrequency synthesis.河南

6、大学本科毕业生学士学位论文第 1 页第第 1 章章 绪论绪论随着现代电子技术的发展,在自动化系统、通信系统,电子对抗以及各种电子测量技术中,我们常常需要一个高精度、频率可变的信号源。近年来随着直接数字频率合成技术(Direct Digital Frequency Synthesis,简称 DDS)的发展,这个问题已经得到很好的解决,与传统的频率合成技术相比,DDS 具有频率分辨率高、频率转变速度快、输出相位连续、相位噪声低、可编程和全数字化、便于集成等优点。用 DDS 合成的频率源是目前很高级的技术,研究该信号源有比较重要的理论意义和现实意义。1.1 课题来源课题来源该题目是自选题目1.2 课

7、题背景课题背景在现代雷达、通信、电子对抗等系统中频率合成器有着广泛的应用,它是电子系统的心脏,是决定电子系统性能的关键设备,很多现代电子设备和系统的功能都直接依赖于所使用的频率合成器的性能。直接数字频率合成(DDS)技术是近二十年新兴的一种频率合成技术,它具有分辨率高、切换速度快、相位连续等一系列优点。由于 DDS 技术具有众多优点,使得它在通信领域如数字调制、移动通信、扩频通信等方面得到了广泛使用。1.3 直接数字频率合成技术的现状直接数字频率合成技术的现状随着数字信号理论和超大规模集成电路 VLSI 的发展,在频率合成领域诞生了一种革命性的技术,那就是七十年代出现的直接数字频率合成 DDS

8、,它的出现标志着频率合成技术迈进了第三代。1971 年 3 月 J.Tiemey 和 C.M.Tader 等人首先提出了 DDS 的概念;利用数字方式累加相位,再以相位之和作为地址来查询正弦函数表得到正弦波幅度的离散数字序列,最后经 DA 变换得到模拟正弦波输出。在系统时钟一定的情况下,输出频率决定于频率寄存器中的频率字。而累加器的字长决定分辨率。基于这样的结构 DDS 频率合成器具有以下优点:(1)频率分辨率高,输出频点多,可达 2N个频点(N 为 DDS 相位累加器的字河南大学本科毕业生学士学位论文第 2 页长);(2)频率切换速度快,可达岸 us 量级;(3)频率切换时相位连续;(4)可

9、以输出宽带正交信号;(5)输出相位噪声低,对参考频率源的相位噪声有改善作用;(6)可以产生任意波形;(7)全数字化实现,便于集成,体积小,重量轻。运用 DDS 技术生产的 DDS 任意波形信号发生器是一类较新的信号源并已经广泛投入使用。它不仅能产生传统函数信号器能产生的正弦波、方波、三角波、锯齿波,还可以产生任意编辑的波形。由于 DDS 的自身特点,还可以很容易的产生一些数字调制信号,如 FSK PSK 等,一些高端的信号发生器甚至可以产生通信信号。同时输出波形的频率分辨率、频率精度等指标也有很大的提高。1.4 本文的主要工作本文的主要工作本论文的任务是根据 DDS 信号发生器的特点和应用情况

10、,结合新一代高性能芯片设计一种使用简单、性能优良的信号发生器。整个系统以单片机STC89S52 控制,DDS 芯片 AD9851 为核心,配置相应的外设及接口电路,用 C 语言开发,组成一个多功能的信号发生器。本文的主要工作如下:(1)根据课题提出的指标和要求进行研究,分析其可行性。(2)对系统的各个功能模块进行了深入的分析和研究,在对课题所采用的方案进行详细的研究后设计了具体的功能电路。(3)熟悉所选择的各集成电路芯片并完成具体电路的设计、PCB 板布局与模块结构设计,对各模块电路进行了精心调试。(4)对系统的最终指标进行了测试,针对系统的不足,进行了分析并提出了一些改进的方法。河南大学本科

11、毕业生学士学位论文第 3 页第第 2 章章 系统总体方案的分析和设计系统总体方案的分析和设计2.1 DDS 技术的基本原理技术的基本原理DDS 的基本工作原理是在采样时钟信号的控制下,通过由频率码控制的相位累加器输出相位码,将存储于只读存储器的波形量化采样数据值按一定的规律读出,经 D/A 转换和低通滤波后输出正弦信号。其主要组成为:相位累加器、相位相加器、波形存储器、数字相乘器和 D/A 转换器。下面就 AD9851 如何实现正弦波和方波来介绍 DDS 的基本原理,如图 2-1 所示。图 2-1 中层虚线内是一个完整的可编程 DDS 系统,外层虚线内包含了AD9851 的主要组成部分。AD9

12、851 内含可编程 DDS 系统和高速比较器,能实现全数字编程控制的频率合成。可编程 DDS 系统的核心是相位累加器,它由一个加法器和一个 N 位相位寄存器组成。每来一个外部参考时钟,相位寄存器便以步长 M 递加。相位寄存器的输出与相位控制字相加后可输入到正弦查询表地址上。正弦查询表包含一个正弦波周期的数字幅度信息,每一个地址对应正弦波中0360范围的一个相位点。查询表把输入地址的相位信息映射成正弦波幅度信号,然后驱动 DAC 以输出模式量。相位寄存器每过 2N/M 个外部参考时钟后返回到初始状态一次,相位地正弦查询表每一个循环也回到初始位置,从而使整个 DDS 系统输出一个正弦波。输出的正弦

13、波周期 To=Tc*2N/M,频率fout=M*fc/2N,Tc、fc 分别为外部参考时钟的周期和频率。AD9851 采用 32 位的相位累加器将信号截断成 14 位输入到正弦查询表,查询表的输出再被截断成 10位后输入到 DAC,DAC 再输出两个互补的电流。DAC 满量程输出电流通过一个外接电阻 RSET 调节,调节关系为 ISET=32(1.148V/RSET),RSET 的典型值是3.9k。将 DAC 的输出经低通滤波后接到 AD9851 内部的高速比较器上即可直接输出一个抖动很小的方波。AD9851 在接上精密时钟源和写入频率相位控制字之间后就可产生一个频率和相位都可编程控制的模拟正

14、弦波输出,此正弦波可直接用作频率信号源或经内部的高速比较器转换为方波输出。在 125MHz 的时钟下,32 位的频率控制字可使 AD9851 的输出频率分辨率达 0.0291Hz;并具有 5 位相位控制位,而且允许相位按增量 180、90、45、22.5、11.25或这些值的组合进行调整。河南大学本科毕业生学士学位论文第 4 页图 2-1 AD9851 的结构框图2.2 DDS 的工作特点的工作特点DDS 的原理使其具备了以下优良的工作特点:(1)频率分辨率高如前所述,DDS 的分辨率在 fc 固定时,取决于相位累加器的位数 N,只要N 取足够大,理论上可以获得相应的频率分辨精度,这在传统的频

15、率合成方法上是难以实现的。(2)频率变化速度快在 DDS 中,一个频率的建立时间通常取决于滤波器的带宽。其影响因素有内部数控振荡器内的工艺结构、数模变换及其它可能的信号处理步骤产生的时延,其中数字信号处理部分的时延与时钟周期相关。由于 DDS 中无须相位反馈控制,因而频率建立及切换快并且与频率分辨率、频谱纯度相互独立。(3)易于实现各种数字调制由于 DDS 信号的频率、相位、幅度均可由数字信号控制,所以可以通过预置内部相位累加器的初始值来精确控制输出信号,调幅时直接在 ROM 表输出端对幅度进行控制,调相时在相位累加器输出端直接加上调制信号即可,调频可通过频率控制字进行,在进行 CHIRP 调

16、制时,也只需在频率控制字前再加一个累加器即可。同时,DDS 也非常易于实现如 PSK、FSK 等高精度的数字调制和正交调制。(4)集成度高DDS 中除了滤波器以外,几乎所有的部件都属于数字信号处理部件,系统易于集成,功耗低,体积小,重量轻。2.3 系统设计原理系统设计原理本文提出的采用 DDS 作为信号发生核心器件的全数控函数信号发生器设计河南大学本科毕业生学士学位论文第 5 页方案, 根据输出信号波形类型可设置、输出信号幅度和频率可数控、输出频率宽等要求,选用了美国 A/D 公司的 AD9851 芯片,并通过单片机程序控制和处理AD9851 的 32 位频率控制字, 再经放大后加至以数字电位器为核心的数字衰减网络, 从而实现了信号幅度、频率、类型以及输出等选项的全数字控制。本系统主要由单片机、DDS 直接频率信号合成器、数字衰减电路、真有效值转换模

展开阅读全文
相关资源
相关搜索

当前位置:首页 > 学术论文 > 毕业论文

电脑版 |金锄头文库版权所有
经营许可证:蜀ICP备13022795号 | 川公网安备 51140202000112号