精编最新ModelSim 使用教程

上传人:ZJ****1 文档编号:46792270 上传时间:2018-06-28 格式:PDF 页数:73 大小:882.84KB
返回 下载 相关 举报
精编最新ModelSim 使用教程_第1页
第1页 / 共73页
精编最新ModelSim 使用教程_第2页
第2页 / 共73页
精编最新ModelSim 使用教程_第3页
第3页 / 共73页
精编最新ModelSim 使用教程_第4页
第4页 / 共73页
精编最新ModelSim 使用教程_第5页
第5页 / 共73页
点击查看更多>>
资源描述

《精编最新ModelSim 使用教程》由会员分享,可在线阅读,更多相关《精编最新ModelSim 使用教程(73页珍藏版)》请在金锄头文库上搜索。

1、ModelSim 使用教程使用教程典型的典型的PLD设计流程设计流程系统产品设计规范典型的典型的PLD流程流程设计输入RTL仿真设计综合门级仿真布局和布线时序分析系统上验证修改设计典型的典型的PLD设计流程设计流程? 设计输入 设计的行为或结构描述 ? RTL仿真(ModelSim) 功能仿真 验证逻辑模型(没有使用时间延迟) 可能要求编辑设计 ? 综合 把设计翻译成原始的目标工艺 最优化 合适的面积要求和性能要求 ? 布局和布线 映射设计到目标工艺里指定位置 指定的布线资源应被使用典型的典型的PLD设计流程设计流程? 门级仿真 (ModelSim) 时序仿真 验证设计一旦编程或配置将能在目标

2、工艺里工作 可能要求编辑设计 ? 时序分析 ? 验证合乎性能规范 可能要求编辑设计 ? 版图设计 仿真版图设计 在板编程和测试器件ModelSim概览概览ModelSim 仿真工具仿真工具? 由Model技术公司开发? 工业上最通用的仿真器之一? 可在Verilog 和 VHDL仿真 OEM版本允许Verilog仿真 或者或者 VHDL 仿真ModelSim 产品产品? ModelSim/VHDL 或者 ModelSim/Verilog OEM ? ModelSim/LNL 许可 Verilog 或者 VHDL,但是不同时许可 ? ModelSim/PLUS 设计者能立刻混合仿真Verilog

3、 和 VHDL ? ModelSim/SE 首要的版本 PLUS的所有功能连同附加功能ModelSim OEM 功能功能? 提供完全的标准 87 VHDL 93 VHDL IEEE 1364-95 Verilog SDF 1.0 - 3.0 VITAL 2.2b VITAL 95 ? 易用的界面 通用的平台用用ModelSim 仿真仿真课程安排课程安排? 基本的仿真步骤 ? 用户界面 ? 功能仿真 ? Quartus输出仿真文件 ? 时序仿真Model 技术公司的技术公司的 ModelSimmain主窗口:structure结构窗口process处理窗口:Signal 如果没有使用, SDF用

4、于顶级5 ? 执行仿真执行仿真? UI) Run? COM) run ? 按timesteps指定的时间长度执行仿真执行仿真执行仿真 (UI)选择选择 timesteps数量就 可以执行仿真数量就 可以执行仿真Restart 重装任何已改动 的设计元素并把仿真时间设 为零重装任何已改动 的设计元素并把仿真时间设 为零COM) run 命令参数命令参数? 可选的参数 - 指定运行的timesteps数量 单位可用fs, ps, ns, ms, sec -step Steps to the next HDL statement -continue 继续上次在-step或断点后的仿真 -all 运行

5、仿真器直到没有其他的事件run 命令举例命令举例? run 1000 从当前位置运行仿真 1000 timesteps ? run 2500 ns从当前位置运行仿真2500 ns ? run 3000 运行仿真到 timestep 仿真器激励仿真器激励? 测试台 Verilog 或 VHDL 非常复杂的仿真 交互式仿真? force命令 简单的模块仿真 直接从命令控制台输入 .DO 文件 (宏文件)force 命令命令? 允许用户给VHDL信号和Verilog线网予以激励 ? 常规语法: force , ? 参数 item_name 被激励的HDL项的名称 必需的 必须是一个Must be a

6、 scalar or one-dimensional array of characters Can be an indexed array, array slice, or record sub-element as long as its of the above type Can use wildcards as long as only one match is force 命令命令(继续继续)? 其他参数 value 被强制的项的值 必须适合项的数据类型 必需的 time 指定值的时间单位 相对于当前的仿真时间 用 character指定绝对时间 时间单位能被指定 缺省值是仿真分辨率

7、 可选的ValueDescription1111 character sequence2#1111 binary radix10#15 decimal radix16#F hexadecimal force 命令命令(继续继续.)? 其他参数 -repeat 在指定周期重复force命令 可选的 -cancel 在指定周期后取消强制force命令 可选的force 命令举例命令举例? force clr 0 在当前仿真时间强制 clr 到 0 ? force bus1 01XZ 100 ns 在当前仿真时间后100ns强制 bus1到 01XZ ? force bus2 16#4F 200 仿

8、真启动后强制 bus2到 4F直到200时间单位 ,分辨率在仿真启动时选择 ? force clk 0 0, 1 20 -repeat 50 -cancel 1000 在当前仿真后0时间单位强制clk到0和在20时间单位强制到1. 每50时间单 位重复直到1000. 因此, 下一个 1 将在70时间单位发生 ? force clk2 1 10 ns, 0 20 ns -r 100 ns 和上一个例子相似。-r前面的时间单位表达式必须放在大括号里DO 文件文件? 自动完成仿真步骤的宏文件 库设置 编译 仿真 强制仿真激励 ? 能在所有的ModelSim 模式里被调用 UI) Macro - Ex

9、ecute COM) do .do ? 能调用其他的DO文件cd c:mydir vlib work vcom counter.vhd vsim counter view * add wave /* add list /* do DO文件举例文件举例add wave /clk add wave /clr add wave /load add wave -hex /data add wave /q force /clk 0 0, 1 50 -repeat 100 force /clr 0 0, 1 100 run 500 force /load 1 0, 0 100 force /data 16

10、#A5 0 force /clk 0 0, 1 50 -repeat 100 run 1000cd c:mydir vlib work vcom counter.vhd vsim counter view * do stimulus.domy_ModelSim 用户界面用户界面ModelSim 用户界面特征用户界面特征? 有九个窗口: main, structure, source, signals, process, variables, dataflow, wave, 和和list 窗口窗口? 支持任何窗口的多个副本? 拖放 在一个窗口选择HDL项后, 用鼠标左键,这些项能被从一个窗口 拖

11、和放到另一个窗口. HDL项可从 Dataflow, List, Signals, Source, Structure, Variables, 和 Wave窗口拖出. 可把它们放到 List 或者 Wave 窗口注意注意: Main窗口只允许存在一个, 因为这个窗口控制仿真器Main 窗口窗口? ModelSim这是设计加载前的提示符 能浏览帮助, 编辑库, 编辑源代码而不用调用一个设计 ? VSIM设计加载后显示的提示符 ? 告诉我们仿真器的行为动作 命令 信息 声明Main 窗口窗口: 库库? Design Menu - Browse Libraries 加入新库或编辑已有的库 浏览和编辑

12、库目录Main 窗口窗口: 启动窗口启动窗口? 用于选择要加载的设计 ? 选择: 时间分辨率 Supports multipliers of 1, 10, and 100 each time scale. 包含顶级设计单元的库 顶级设计单元 Entity/Architecture对 构造 模块 ? Design Menu - Load New DesignCOM)vsim Main 窗口窗口: 选项选项? Options Menu - Simulation OStructure 窗口窗口? 设计的结构多层浏览 VHDL (?) - Package, component instantiatio

13、n, generate and block statements Verilog (?) module实例, named fork, named begin, task, 和 function Instantiation label, entity/module, architecture 成为当前层 for Source 和 Signals 窗口, updates Process 和Variables 窗口COM)view Source 窗口窗口? 从Structure窗口选择 ? Options 菜单 (源代码的控制浏览) ? Color-coded 注释, 关键字, 字符串, 数字, 执行行, 标识符, 系统任务, 文本 ? 完全的编辑能力 保存 编译和重启 ? 拖放 ? 描述/检查 VHDL 信号, 变量和常数COM)view Source

展开阅读全文
相关资源
相关搜索

当前位置:首页 > IT计算机/网络 > 其它相关文档

电脑版 |金锄头文库版权所有
经营许可证:蜀ICP备13022795号 | 川公网安备 51140202000112号