半导体专业名司缩写表

上传人:飞*** 文档编号:43873129 上传时间:2018-06-07 格式:DOCX 页数:29 大小:60.23KB
返回 下载 相关 举报
半导体专业名司缩写表_第1页
第1页 / 共29页
半导体专业名司缩写表_第2页
第2页 / 共29页
半导体专业名司缩写表_第3页
第3页 / 共29页
半导体专业名司缩写表_第4页
第4页 / 共29页
半导体专业名司缩写表_第5页
第5页 / 共29页
点击查看更多>>
资源描述

《半导体专业名司缩写表》由会员分享,可在线阅读,更多相关《半导体专业名司缩写表(29页珍藏版)》请在金锄头文库上搜索。

1、A/Danalog to digitalAAatomic absorptionAASatomic absorption spectroscopyABCactivity-based costingABMactivity-based managementACalternating current; activated carbonACFanisotropic conductive filmACIafter-clean inspectionACPanisotropic conductive pasteACTalternative control techniques; actual cycle ti

2、meADCanalog-to-digital converterADEadvanced development environmentADIafter-develop inspectionADTapplied diagnostic techniqueADTSEMApply/Develop Track Specific Equipment ModelAEatomic emission; acousticemission; absolute ellipsometryAECadvanced equipment controllerAECSAdvanced Equipment ControlSyste

3、m; Automated Equipment Control SystemAEIafter-etch inspection; automated equipment interfaceAEManalytical electron microscopyAESAuger emission/electron spectroscopyAFMatomic force microscopyAFPabrasive-free polishAgsilverA-GEMTFAdvanced GEM Task ForceAGVautomated guided vehicleAHFanhydrous hydrogen

4、fluorideAHUair handling unitAIRautomated image retrievalAlaluminumALDatomic layer depositionALEatomic layer epitaxy; application logic elementALSadvanced light source; advanced low-power SchottkyAMCairborne molecular contaminationAMHSautomated material handling systemAMTadvanced manufacturing techno

5、logyAMUatomic mass unitANNartificial neural networkANOVAanalysis of varianceAOVair-operated valveAPadhesion promoterAPAadvanced performance algorithmAPCadvanced process controlAPCDadd-on pollution control deviceAPCFIAdvanced Process Control Framework InitiativeAPCVDatmospheric pressure chemical vapo

6、r depositionAPECadvanced process equipment controlAPIapplication programming interface; atmospheric pressure ionizationAPMatmospheric passivation module; acoustic plate modeAPRDLAdvanced Products Research and Development LaboratoryaPSMattenuating phase-shift maskAQIACCESS query interfaceAQLacceptabl

7、e quality levelArargonARaspect ratioARAMSAutomated ReliabilityARCantireflective coatingARDEaspect ratio-dependent etchingARPAAdvanced Research Projects Agency (see DARPA)ARSangle-resolved scatteringAsarsenicAS/RSautomated storage and retrieval systemASAPAdvanced Stepper Application ProgramASICapplic

8、ation-specific integrated circuitASOautomatic shutoffASPadvanced strip and passivation; advanced strip processorASRautomated send receiveATDFAdvanced ToolDevelopment FacilityATEautomatic test equipmentATGautomatic test generationATLASabbreviated test language for all systemsatmatmosphereATPadvanced

9、technology program; adenosine triphosphate; acceptance and tool performanceATRattenuated total reflectanceAttattenuatedAugoldAVPadvanced vertical processorAVSadvanced visualization systemAWEasymptotic waveform evaluationAWISPMabove wafer in situ particle monitoringAWSadvanced wet stationBbillion; bo

10、ronBabariumBARCbottom antireflective coatingBASEBoston Area Semiconductor Education (Council)BAWbulk acoustic waveBCbias contrastBDEVbehavior-level deviationBDSBrownian Dynamics SimulationBeberylliumBEOLback end of lineBESOIbonded and etchback silicon on insulatorBFbrightfieldBFGSBroyden-Fletcher- G

11、oldfarb-Shanno optimization algorithmBFLbuffered field-effect transistor logicBGAball grid arrayBHTBrinell hardness testBibismuthBiCMOSbipolar complementary metal-oxide semiconductorBIFETbipolar field-effect transistorBIMbinary intensity maskBiMOSbipolar metal-oxide semiconductorBISTbuilt-in self-te

12、stBITbulk ion temperatureBITEbuilt-in test equipmentBMCbubble memory controllerBMDbulk micro defectBOEbuffered oxide etchantBORbottom of rangeBOSSBook of SEMI Standards; binary object storage systemBOXburied oxideBPRbeam profile reflectometry; business process reengineeringBPSGboron phosphosilicate

13、glassBPTEOSBPSG from a TEOS sourceBrbromineBSEbackscattered electron detectionBTABbumped tape automated bondingBVbreakdown voltageCcarbonCacalciumCACIM architectureCAACIM applications architectureCABCompetitive Analysis BenchmarkingCADcomputer-aided designCADTcontrol application development toolCAEc

14、omputer-aided engineeringCAIcomputer-assisted instructionCAMcomputer-aided manufacturingCAPScomputer-assisted problem solvingCARchemically amplified resistCARRIComputerized Assessment of Relative Risk ImpactsCASEcomputer-aided softwareengineering; computer-aided systems engineeringCATcomputer-aided

15、testingCAWConstruction Analysis WorkgroupCAWCcryogenic aerosol wafer cleaningCBGAceramic ball grid arrayCBSchemical bottle storage areaCBTcomputer-based trainingCCchip carrier; cluster controllerCCCceramic chip carrierCCDcharge-coupled deviceCCSLcompatible current-sinking logicCCWcounterclockwiseCdc

16、admiumCDcritical dimensionCD/OLcritical dimension overlayCDAclean dry airCDEchemical downstream etchCDEMCustomer Delivery Enterprise ModelCDIcollector-diffusion isolationCDMCommon Device Model for SABCDOcontrolled decomposition/oxidationCDRchemical distribution roomCDSchemical distribution systemCeceriumCEcapillary electrophoresisCECcell evaluation chipCEEcontrol execution environmentCEMcontinuous emissions monitoringCER-DIPceramic dual in-line packageCFAcomponent failure analysisCFCchlorofl

展开阅读全文
相关资源
正为您匹配相似的精品文档
相关搜索

最新文档


当前位置:首页 > 办公文档 > 其它办公文档

电脑版 |金锄头文库版权所有
经营许可证:蜀ICP备13022795号 | 川公网安备 51140202000112号