EDA课程设计 秒表计时器 王斯

上传人:洪易 文档编号:41718643 上传时间:2018-05-30 格式:DOC 页数:17 大小:703.74KB
返回 下载 相关 举报
EDA课程设计 秒表计时器 王斯_第1页
第1页 / 共17页
EDA课程设计 秒表计时器 王斯_第2页
第2页 / 共17页
EDA课程设计 秒表计时器 王斯_第3页
第3页 / 共17页
EDA课程设计 秒表计时器 王斯_第4页
第4页 / 共17页
EDA课程设计 秒表计时器 王斯_第5页
第5页 / 共17页
点击查看更多>>
资源描述

《EDA课程设计 秒表计时器 王斯》由会员分享,可在线阅读,更多相关《EDA课程设计 秒表计时器 王斯(17页珍藏版)》请在金锄头文库上搜索。

1、王斯 电信 10-01 秒表设计0目录目录1 引言 .11.1 课程设计的目的.21.2 课程设计的内容.22 EDA、VHDL 简介.22.1 EDA 技术.22.2 硬件描述语言VHDL.32.2.1 VHDL 的简介.32.2.2 VHDL 语言的特点.32.2.3 VHDL 的设计流程.43 设计过程 .53.1 设计规划.53.2 顶层模块设计.63.3 底层模块设计.64 系统仿真 .135 结束语 .166 参考文献 .17王斯 电信 10-01 秒表设计11 引言引言在科技高度发展的今天,集成电路和计算机应用得到了高速发展。尤其是计算机应用的发展。它在人们日常生活已逐渐崭露头角

2、。大多数电子产品多是由计算机电路组成,如:手机、mp3 等。而且将来的不久他们的身影将会更频繁的出现在我们身边。各种家用电器多会实现微电脑技术。电脑各部分在工作时多是一时间为基准的。本文就是基于计算机电路的时钟脉冲信号、状态控制等原理设计出的数字秒表。秒表在很多领域充当一个重要的角色。在各种比赛中对秒表的精确度要求很高,尤其是一些科学实验。他们对时间精确度达到了几纳秒级别。1.1 课程设计的目的课程设计的目的本次设计的目的就是在掌握 EDA 实验开发系统的初步使用基础上,了解EDA 技术,对计算机系统中时钟控制系统进一步了解,掌握状态机工作原理,同时了解计算机时钟脉冲是怎么产生和工作的。在掌握

3、所学的计算机组成与结构课程理论知识时。通过对数字秒表的设计,进行理论与实际的结合,提高与计算机有关设计能力,提高分析、解决计算机技术实际问题的能力。通过课程设计深入理解计算机结构与控制实现的技术,达到课程设计的目标。1.2 课程设计的内容课程设计的内容利用 VHDL 语言设计基于计算机电路中时钟脉冲原理的数字秒表。该数字秒表能对0 秒59 分 59.99 秒范围进行计时,显示最长时间是 59 分 59 秒。计时精度达到 10ms。设计了复位开关和启停开关。复位开关可以在任何情况下使用,使用以后计时器清零,并做好下一次计时的准备。王斯 电信 10-01 秒表设计22 EDA、VHDL 简介简介2

4、.1 EDA 技术技术EDA 是指以计算机为工作平台,融合了应用电子技术、计算机技术、智能化技术的最新成果而开发出的电子 CAD 通用软件包,它根据硬件描述语言HDL 完成的设计文件,自动完成逻辑编译、化简、分割、综合、优化、布局布线及仿真,直至完成对于特定目标芯片的适配编译、逻辑映射和编程下载等工作。目前 EDA 主要辅助进行三个方面的设计工作:IC 设计、电子电路设计和PCB 设计。没有 EDA 技术的支持,想要完成超大规模集成电路的设计制造是不可想象的;反过来,生产制造技术的不断进步又必将对 EDA 技术提出新的要求。2.2 硬件描述语言硬件描述语言VHDL2.2.1 VHDL 的简介的

5、简介VHDL 语言是一种用于电路设计的高级语言。它在 80 年代的后期出现。最初是由美国国防部开发出来供美军用来提高设计的可靠性和缩减开发周期的一种使用范围较小的设计语言 。但是,由于它在一定程度上满足了当时的设计需求,于是他在 1987 年成为 A I/IEEE 的标准(IEEE STD 1076-1987) 。1993 年更进一步修订,变得更加完备,成为 A I/IEEE 的 A I/IEEE STD 1076-1993 标准。目前,大多数的 CAD 厂商出品的 EDA 软件都兼容了这种标准。自 IEEE 公布了 VHDL 的标准版本,IEEE-1076(简称 87 版)之后,各 EDA

6、公司相继推出了自己的 VHDL 设计环境,或宣布自己的设计工具可以和 VHDL 接口。此后 VHDL 在电子设计领域得到了广泛的接受,并逐步取代了原有的非标准的硬件描述语言。1993 年,IEEE 对 VHDL 进行了修订,从更高的抽象层次和系统描述能力上扩展VHDL 的内容,公布了新版本的 VHDL,即 IEEE 标准的 1076-1993 版本, (简称93 版) 。现在,VHDL 和 Verilog 作为 IEEE 的工业标准硬件描述语言,又得到众多 EDA 公司的支持,在电子工程领域,已成为事实上的通用硬件描述语言。有专家认为,在新的世纪中,VHDL 于 Verilog 语言将承担起大

7、部分的数字系统设王斯 电信 10-01 秒表设计3计任务。2.2.2 VHDL 语言的特点语言的特点VHDL 的程序结构特点是将一项工程设计,关于用 VHDL 和原理图输入进行CPLD/FPGA 设计的粗略比较:在设计中,如果采用原理图输入的设计方式是比较直观的。你要设计的是什么,你就直接从库中调出来用就行了。这样比较符合人们的习惯。在对一个设计实体定义了外部界面后,一旦其内部开发完成后,其他的设计就可以直接调用这个实体。这种将设计实体分成内外部分的概念是VHDL 系统设计的基本点。应用 VHDL 进行工程设计的优点是多方面的。(1)与其他的硬件描述语言相比,VHDL 具有更强的行为描述能力,

8、从而决定了他成为系统设计领域最佳的硬件描述语言。强大的行为描述能力是避开具体的器件结构,从逻辑行为上描述和设计大规模电子系统的重要保证。(2)VHDL 丰富的仿真语句和库函数,使得在任何大系统的设计早期就能查验设计系统的功能可行性,随时可对设计进行仿真模拟。(3)VHDL 语句的行为描述能力和程序结构决定了他具有支持大规模设计的分解和已有设计的再利用功能。符合市场需求的大规模系统高效,高速的完成必须有多人甚至多个代发组共同并行工作才能实现。(4)对于用 VHDL 完成的一个确定的设计,可以利用 EDA 工具进行逻辑综合和优化,并自动的把 VHDL 描述设计转变成门级网表。(5)VHDL 对设计

9、的描述具有相对独立性,设计者可以不懂硬件的结构,也不必管理最终设计实现的目标器件是什么,而进行独立的设计。2.2.3 VHDL 的设计流程的设计流程它主要包括以下几个步骤:1.文本编辑:用任何文本编辑器都可以进行,也可以用专用的 HDL 编辑环境。通常 VHDL文件保存为.vhd 文件,Verilog 文件保存为.v 文件2.功能仿真:王斯 电信 10-01 秒表设计4将文件调入 HDL 仿真软件进行功能仿真,检查逻辑功能是否正确(也叫前仿真,对简单的设计可以跳过这一步,只在布线完成以后,进行时序仿真)3.逻辑综合:将源文件调入逻辑综合软件进行综合,即把语言综合成最简的布尔表达式。逻辑综合软件

10、会生成.edf 或.edif 的 EDA 工业标准文件。4.布局布线:将.edf 文件调入 PLD 厂家提供的软件中进行布线,即把设计好的逻辑安放PLD/FPGA 内。5.时序仿真:需要利用在布局布线中获得的精确参数,用仿真软件验证电路的时序。(也叫后仿真) 通常以上过程可以都在 PLD/FPGA 厂家提供的开发工具。6.器件编程3 设计过程设计过程3.1 设计规划设计规划根据系统设计要求,系统的组成框图如图 31 所示。王斯 电信 10-01 秒表设计5数字秒表计时控制电路计时电路显示电路控制模块时基分频电路计数器扫描电路译码器六进制 计数器十进制 计数器图 31 系统组成框图系统设计采用自

11、顶向下的设计方法,它主要是由控制模块、时基分频模块、计时模块和显示模块四部分组成。(1)控制模块计时控制模块的作用是对计时过程进行控制。计时控制模块可用两个按钮开关来完成秒表的启动、停止和复位。(2)计时模块计时模块执行计时功能,计时的方法是对标准时钟脉冲计数。由于计时范围是 0 秒59 分 59.59 秒,所以计时器可以由两个 60 进制计数器和一个 100 进制计数器构成,其中分和秒采用 60 进制计数器,毫秒采用 100 进制计数器。(3)显示模块计时显示电路的作用是将计时值在 LED 七段译码数码管上显示出来。计时电路产生的计时值经过 BCD 七段译码后,驱动 LED 数码管。3.2

12、顶层模块设计顶层模块设计以上所描述的模块的程序编写均在同一工程下,由程序生成的元件也在该工程下,所以可以在该工程下再建一原理图作为顶层,将所需要的元件按照要求进行连线,加入输入输出处端口并改名。包层原理图,并将原理图置为顶层王斯 电信 10-01 秒表设计6文件。如下图:3.3 底层模块设计底层模块设计底层单元模块共有七个,全部用 VHDL 语言描述。它主要是由计时电路、计时控制电路及显示电路组成。3.3.1 计时模块计时电路执行计时功能,主要是由时基分频器、计数器组成。计时方法是对标准时钟脉冲计数,计数器是由一个 100 进制计数器和两个 60 进制计数器构成,其中毫秒位采用 100 进制计数器,秒位和分位采用 60 进制计数器。100 进制计数器的源程序:library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity cnt100 isport(cs,clk:in std_logic;co:buffer std_logic;s_10ms,s_100ms:buffer std_logic_vector(3 downto 0);clear:in std_logic);王斯 电信 10-01 秒表设计7end ;architecture behave of cnt1

展开阅读全文
相关资源
相关搜索

当前位置:首页 > 行业资料 > 其它行业文档

电脑版 |金锄头文库版权所有
经营许可证:蜀ICP备13022795号 | 川公网安备 51140202000112号