EDA全加器设计

上传人:206****923 文档编号:41567750 上传时间:2018-05-30 格式:DOC 页数:8 大小:1.20MB
返回 下载 相关 举报
EDA全加器设计_第1页
第1页 / 共8页
EDA全加器设计_第2页
第2页 / 共8页
EDA全加器设计_第3页
第3页 / 共8页
EDA全加器设计_第4页
第4页 / 共8页
EDA全加器设计_第5页
第5页 / 共8页
点击查看更多>>
资源描述

《EDA全加器设计》由会员分享,可在线阅读,更多相关《EDA全加器设计(8页珍藏版)》请在金锄头文库上搜索。

1、EDA 基础及应用实验项目报告项目题目: 全加器设计全加器设计 姓 名: 胡小琴 院 系: 电子信息工程学院 专 业: 电子信息工程(对口高职 学 号: 201315294127 指导教师: 徐正坤 综合成绩: 完成时间完成时间: 2015 年年 5 月月 13 日日一、实验目的一、实验目的设计并实现一个一位全加器。二、实验原理二、实验原理计算机中的加法器一般就是全加器,它实现多位带进位加法。下面以一位全加器介绍。一位全加器有三个输入、两个输出,见图 2-1。图 2-1 一位全加器示意图图中的“进位入”Ci-1指的是低位的进位输出,“进位出”Ci 即是本位的进位输出。一位全加器的真值表见表 2

2、-1。表 2-1:输入输出Ci-1BiAiSiCi0000000110010100110110010101011100111111根据表 2-1 便可写出逻辑函数表达式:1111iiiiCBiAiCBiAiCBiAiCBiAiSi11iiCBiCAiBiAiCi全加功能的硬件实现方法有多种,例如:可以把全加和看作是 Ai 与 Bi 的半加和 Hi 与进位输入 Ci-1的半加和来实现。三、实验步骤:三、实验步骤:(被加数)Bi全加器(被加数)Ai(进位入)Ci-1(全加和)Si(进位出)Ci1、打开 QuartusII 软件。2、选择路径。选择 File/New Project Wizard,指

3、定工作目录,指定工程和顶层设计实体称; 注意:工作目录名不能有中文。3、添加设计文件。将设计文件加入工程中。单击“Next”,如果有已经建立好的 VerilogHDL 或者原理图等文件可以在 File name 中选择路径然后添加,或者选择 Add All 添加所有可以添加的设计文件(.vhd,.v, 原理图等)。如果没有直接点击“Next”,等建立 好工程后再添加也可,这里我们暂不添加。4、选择 FPGA 器件。Family 选择 Cyclone IV E,Available device 选 EP4CE22F17C8,Packge 选择 Any,Pin Count 选择 256,Speed

4、 grade 选择 Any;点击“Next”。5、选择外部综合器、仿真器和时序分析器。Quartus II 支持外部工具,可通过选中来指定 工具的路径。默认使用 Quartus II 自带的工具。这里我们对仿真工具做选择,如下图所示。6、结束设置。单击“Next”,弹出“工程设置统计”窗口,上面列出了工程的相关设置情 况。最后单击“Finish”,结束工程设置。7、建立 VHDL 代码文件。如果在建立工程时没有添加设计文件,这时可以新建文件 再添加。也可通过选择 Project/ Add/Remove Files In Project 来添加外部文件。8、输入代码:LIBRARY IEEE;

5、USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_UNSIGNED.ALL;ENTITY fulladder IS PORT(A,B,cin:IN STD_LOGIC; sum,carry:OUT STD_LOGIC;M : out std_logic_vector(3 downto 0);- 4 位功能选择位 M3:0,设置状态为0001,即 16 位拨码开关接到 16 位数据总线上。END fulladder;ARCHITECTURE half2 of fulladder IS signal temp: STD_LOGIC_VECTOR(1 DO

6、WNTO 0); BEGINM Assignments Device Device Device & Pin Options Unused Pins Reserve all unused pins : AS input tri-stated。如未将未分配管脚置为三态输入,将可能导致主芯片或外围芯片损坏, 属人为使用不当,公司将不负责。13、全局编译。Processing-Start Compilation14、下载。下载可以选择 JTAG 方式和 AS 方式(JTAG 下载方式把文件直接下载到 FPGA 里面,AS 下载方式把文件下载到配置芯片里面,因此可以掉电存储)。选择 Tools- Pr

7、ogrammer,mode 选择 JTAG 下载方式,选择 Add File,添加.sof 文件(AS 下载选择. pof 文件)并选中 Program/Configure,点击“Start”后开始下载。第一次使用下载时,首先点 击“Hardware Setup.”,打开 Hardware Setup 对话框,然后点击 Currently selected hardware 右边的选择箭头,选择 USB -Blaster 后单击”Close”关闭对话框。然后可以在下载窗口的按 键 Hardware Setup 的右边看到有“USB-Blaster”。可见选择下载的文件为:fulladder.s

8、of。 点击“Start”开始下载,并可以看到下载进度。四、实验连线四、实验连线全加器的三个输入端子是 a、b、cin,分别代表 Ai、Bi、Ci-1 对应底板的SW1、SW2、SW3;两个输出端子是 co、sum,分别代表 Ci 、Si。对应 IO9、IO10。功能选择位 M3.0状态为 0001,即 16 位拨码 SW1SW16 被选中输出到总线 D15.0。实验接线实验接线:IO9,IO10 连接 L1,L2 (L1-L8,LED 为高电平点亮)拨动 SW1、SW2、SW3,观察 L1、L2 的变化。5实验记录实验记录输入输出实验结果Ci-1BiAiSiCiSiCi00000000011

9、0100101010011010110010101010101110010111111116实验项目分析实验项目分析在本次实验中,开始因操作不当,造成多次实验不成功,然后在本次实验中,开始因操作不当,造成多次实验不成功,然后通过更换实验箱以及重装软件,完成了本次实验,并且实际应该输通过更换实验箱以及重装软件,完成了本次实验,并且实际应该输出的数据与实验数据一致。但因暂时没有教仿真,故没有完成该实出的数据与实验数据一致。但因暂时没有教仿真,故没有完成该实验中的仿真。验中的仿真。7、注意事项注意事项在实验中,应注意拔插线应先关闭实验箱电源(动作一定要轻)在实验中,应注意拔插线应先关闭实验箱电源(动作一定要轻),创建项目名称时,项目名一定要与实体名称一致。注意芯片、针,创建项目名称时,项目名一定要与实体名称一致。注意芯片、针脚的选择。脚的选择。

展开阅读全文
相关资源
相关搜索

当前位置:首页 > 行业资料 > 其它行业文档

电脑版 |金锄头文库版权所有
经营许可证:蜀ICP备13022795号 | 川公网安备 51140202000112号