EDA八路彩灯课程设计

上传人:飞*** 文档编号:39675351 上传时间:2018-05-18 格式:PDF 页数:11 大小:214.37KB
返回 下载 相关 举报
EDA八路彩灯课程设计_第1页
第1页 / 共11页
EDA八路彩灯课程设计_第2页
第2页 / 共11页
EDA八路彩灯课程设计_第3页
第3页 / 共11页
EDA八路彩灯课程设计_第4页
第4页 / 共11页
EDA八路彩灯课程设计_第5页
第5页 / 共11页
点击查看更多>>
资源描述

《EDA八路彩灯课程设计》由会员分享,可在线阅读,更多相关《EDA八路彩灯课程设计(11页珍藏版)》请在金锄头文库上搜索。

1、课程设计课程设计名称:EDA 课程设计专 业 班 级 XXX 学 生 姓 名 :XXXX 学号 : XXXX 指 导 教 师 : XXXX 课程设计时间: 2011-12-19 2011-12-30 2 电子信息工程技术专业课程设计任务书学生姓名XXXXX 专业班级XXXX 学号XXXXX 题目节日彩灯控制器课题性质工程设计课题来源自拟课题指导教师XXXX 同组姓名主要内容要求控制器能控制 8路彩灯按照两种节拍、三种花型循环变化。两种节拍分别为 0.25 秒和0.5 秒。三种花型分别是:8 路彩灯从左至右按次序渐亮,全亮后逆次序渐灭。从中间到两边对称地渐亮,全亮后由中间向两边逐灭从两边到中间对

2、称地渐亮,全亮后全灭。任务要求1 根据设计题目要求进行方案设计并编写相应程序代码2 对编写的VHDL 程序代码进行编译和仿真3 总结设计内容,完成课程设计说明书参考文献1 焦素敏 .EDA课程设计指导书. 郑州:河南工业大学,2008 2 焦素敏 .EDA应用技术 . 北京:清华学出版社,2005 3 朱正伟 .EDA技术及应用 . 北京:北京大学出版社,2005 4 赵富,李立军EDA技术基础 . 北京:北京大学出版社,2007 5 曹昕臣,聂春燕EDA技术实验与课程设计. 北京:清华大学出版社,2007 审查意见指导教师签字:XXXX 教研室主任签字:张庆辉 2011年 12 月 19 日

3、说明:本表由指导教师填写,由教研室主任审核后下达给选题学生,装订在设计(论文)首页3 1 设计任务及要求任务要求: 1 根据设计题目要求进行方案设计并编写相应程序代码2对编写的 VHDL 程序代码进行编译和仿真3总结设计内容,完成课程设计说明书主要内容 : 要求控制器能控制8 路彩灯按照两种节拍、三种花型循环变化。两种节拍分别为 0.25 秒和 0.5 秒。三种花型分别是:8 路彩灯从左至右按次序渐亮,全亮后逆次序渐灭。从中间到两边对称地渐亮,全亮后由中间向两边逐灭从两边到中间对称地渐亮,全亮后全灭。2 设计原理及总体框图根据功能要求,可将 8 路彩灯控制器的输出按花形循环要求列成表格,其中。

4、Q7-Q0是控制器输出的8 路彩灯的控制信号,高电平时彩灯亮。状态标识flag是为了便于有规律地给8 路输出赋值而设立的不同花形的检测信号。序号Q7 Q6 Q5 Q4 Q3 Q2 Q1 Q0 状态 标识 flag 说明0 0 0 0 0 0 0 0 0 000 第一种花形:渐亮1 1 0 0 0 0 0 0 0 2 1 1 0 0 0 0 0 0 3 1 1 1 0 0 0 0 0 4 1 1 1 1 0 0 0 0 5 1 1 1 1 1 0 0 0 6 1 1 1 1 1 1 0 0 7 1 1 1 1 1 1 1 0 8 1 1 1 1 1 1 1 1 4 9 1 1 1 1 1 1 1

5、 0 001 第一种花形:渐灭10 1 1 1 1 1 1 0 0 11 1 1 1 1 1 0 0 0 12 1 1 1 1 0 0 0 0 13 1 1 1 0 0 0 0 0 14 1 1 0 0 0 0 0 0 15 1 0 0 0 0 0 0 0 16 0 0 0 0 0 0 0 0 17 0 0 0 1 1 0 0 0 010 第二种花形:渐亮18 0 0 1 1 1 1 0 0 19 0 1 1 1 1 1 1 0 20 1 1 1 1 1 1 1 1 21 1 1 1 0 0 1 1 1 011 第二种花形:渐灭22 1 1 0 0 0 0 1 1 23 1 0 0 0 0 0

6、 0 1 24 0 0 0 0 0 0 0 0 25 0 0 0 1 1 0 0 0 100 第三种花形:渐亮26 0 0 1 1 1 1 0 0 27 0 1 1 1 1 1 1 0 28 1 1 1 1 1 1 1 1 29 0 0 0 0 0 0 0 0 5 两种节拍的交替需要将4Hz的时钟脉冲二分频, 得到一个 2Hz的时钟脉冲,让这两种时钟脉冲交替控制花形循环。3 程序设计(1)彩灯控制模块LIBRARY IEEE; USE IEEE.STD_LOGIC_ARITH.ALL; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_UNSIGN

7、ED.ALL; ENTITY cd IS PORT(clk:IN STD_LOGIC; jp:OUT STD_LOGIC; qq:OUT STD_LOGIC_VECTOR(7 DOWNTO 0); END cd; 6 ARCHITECTURE behav OF cd IS CONSTANT w:INTEGER:=7; SIGNAL q:STD_LOGIC_VECTOR(7 DOWNTO 0); BEGIN PROCESS(clk) VARIABLE flag:BIT_VECTOR(2 DOWNTO 0):=“000“; VARIABLE jp1:STD_LOGIC:=0; BEGIN IF c

8、lkEVENT AND clk=1 THEN IF flag=“000“ THEN q=1 IF q(1)=1 THEN flag:=“001“; END IF; ELSIF flag=“001“ THEN q=q(w-1 DOWNTO 0) IF q(6)=0 THEN flag:=“010“; END IF; ELSIF flag=“010“ THEN q(w DOWNTO 4)=q(w-1 DOWNTO 4) q(w-4 DOWNTO 0)=1 7 IF q(1)=1 THEN flag:=“011“; END IF; ELSIF flag=“011“ THEN q(w DOWNTO 4

9、)=q(w-1 DOWNTO 4) q(w-4 DOWNTO 0)=0 IF q(1)=0 THEN flag:=“100“; END IF; ELSIF flag=“100“ THEN q(w DOWNTO 4)=1 q(w-4 DOWNTO 0)=q(w-5 DOWNTO 0) IF q(4)=1 THEN flag:=“101“; END IF; ELSIF flag=“101“ THEN q=“00000000“; jp1:=NOT jp1; flag:=“000“; END IF; END IF; qq=q; 8 jp=jp1; END PROCESS; END behav; (2)

10、二选一多路选择模块LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; ENTITY mux21 IS PORT(a,b,s:IN STD_LOGIC; y:OUT STD_LOGIC); END mux21; ARCHITECTURE ar OF mux21 IS BEGIN PROCESS(a,b,s) BEGIN IF s=0 THEN y=a; ELSE y=b; END IF; END PROCESS; END ar; 9 (3)二分频模块LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; ENTITY fen2 IS

11、 PORT(clk:IN STD_LOGIC; clkk:OUT STD_LOGIC); END fen2; ARCHITECTURE behav OF fen2 IS BEGIN PROCESS(clk) VARIABLE clkk1:STD_LOGIC:=0; BEGIN IF clkEVENT AND clk=1 THEN clkk1:=NOT clkk1; END IF; clkk=clkk1; END PROCESS; END behav; 4 编译及仿真(1)二分频模块仿真波形10 (2)彩灯仿真波形(3)顶层仿真波形6 参考文献在“课程设计报告”的最后应附上所参考的相关文献,参考

12、文献格式如下:(1书籍2 文章例 )1 边肇祺 . 模式识别(第二版) . 北京: 清华大学出版社, 1988,2535 2 李永忠 . 几种小波变换的图像处理技术. 西北民族学院学报(自然科学版), 2001.6,22(3) ,1518 心得体会经过两周的努力,我终于完成关于交通灯控制电路的课程设计,通过两周不断的查资料让我积累了许多实际操作经验,我已熟悉了 Quartus 软件的使用方法,而且学习了 VHDL 基本逻辑电路和状态机电路的综合设计应用。11 EDA 设计让我感觉程序调试最重要,试验软件、硬件熟悉其次。在编完各模块程序之后,编译查错最初有十几个错误,有输入错误、语法错误。必须注意工程名和实体名一致, 不然一般会出错。 在无误后可以进行波型仿真。若与理想的不同,再查看程序,有无原理上的编辑错误或没有查出的输入错误。

展开阅读全文
相关资源
正为您匹配相似的精品文档
相关搜索

最新文档


当前位置:首页 > 商业/管理/HR > 管理学资料

电脑版 |金锄头文库版权所有
经营许可证:蜀ICP备13022795号 | 川公网安备 51140202000112号