数字电路课程设计报告——层电梯

上传人:jiups****uk12 文档编号:39279850 上传时间:2018-05-14 格式:DOC 页数:14 大小:138.50KB
返回 下载 相关 举报
数字电路课程设计报告——层电梯_第1页
第1页 / 共14页
数字电路课程设计报告——层电梯_第2页
第2页 / 共14页
数字电路课程设计报告——层电梯_第3页
第3页 / 共14页
数字电路课程设计报告——层电梯_第4页
第4页 / 共14页
数字电路课程设计报告——层电梯_第5页
第5页 / 共14页
点击查看更多>>
资源描述

《数字电路课程设计报告——层电梯》由会员分享,可在线阅读,更多相关《数字电路课程设计报告——层电梯(14页珍藏版)》请在金锄头文库上搜索。

1、数字电路课程设计报告 - 1 - 数字电路课程设计报告数字电路课程设计报告三层电梯控制器姓名:李世刚班级:计 1223学号:201258502314指导老师:王玲玲2012 年 12 月 23 日数字电路课程设计报告 - 2 - 目录一、设计内容一、设计内容.11、简要说明.22、任务和要求.2二、二、设计方案及总体功能设计方案及总体功能.2三、各部分具体设计三、各部分具体设计.4四、我的设想四、我的设想.12五、总结五、总结.12数字电路课程设计报告 - 3 - 一、一、设计内容设计内容本次设计的是三层电梯控制器:1、简要说明简要说明:电梯控制器是控制电梯按顾客的要求自动上下的装置。2、任务

2、和要求:任务和要求:(1)每层电梯入口处设有上下请求开关,电梯内设有乘客到达层次的停战请求开关。(2)设有电梯所处位置指示装置及电梯运行模式(上升或下降或等待)指示装置。(3)电梯每秒升(降)一层楼。(4)电梯到达有停站请求的楼层后,经一秒电梯楼门打开,开门指示灯亮,开门四秒后,电梯门关闭(开门指示灯灭) ,电梯继续运行,直至执行完最后一个请求信号后停在当前层。(5)能记忆电梯内外所有请求,并按照电梯运行规则按顺序响应,每个请求信号保留至执行后消除(6) 电梯运行规则一当电梯处于上升模式时,只响应比电梯所在位置高的上楼请求信号,由下而上逐个执行,直到最后一个上楼请求执行完毕;如果高层有下楼请求

3、,则相反。(7)电梯初始状态为一层开门状态。二、二、设计方案及总体功能设计方案及总体功能本设计的总体框图如图 1-1 所示:数字电路课程设计报告 - 4 - 图 1-1 总体框图本控制器的功能模块主要包括控制器、状态显示器,译码器,楼层显示器等。乘客在电梯中选择所要到达的楼层,通过控制器的处理,电梯开始运行,状态显示器显示电梯的运行状态,电梯所在楼层数通过译码器从而在楼层显示器中显示。电梯控制器原理图数字电路课程设计报告 - 5 - 三、三、各部分具体设计各部分具体设计1、模块 arc 见图 1-2。该模块是整个控制器的核心。其主要的的设计思想是将电梯的状态划分为四个:一层状态(c1) ,二层

4、状态(c2),三层状态(c3)及开门状态(kai) 。对于每一个状态分析其所有的可能。图 1-3本模块的程序如下:library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; use ieee.std_logic_unsigned.all;entity arc is port( u1,u2,d2,d3,k1,k2,k3,clk:in std_logic;site:out std_logic_vector(3 downto 1);a1,a2,a3,mode,door,ur1,ur2,dr2,dr3:out std

5、_logic ); end arc;architecture behave of arc is type state_type is(c1,c2,c3,kai); begin数字电路课程设计报告 - 6 - process(clk)variable u,d,s,f:std_logic_vector(3 downto 1);variable m,a,b,n:std_logic;variable cnt1,cnt2:integer;variable state :state_type;beginif clkevent and clk=1 thenif u1=0 thenu(1):=1;ur1f:=

6、“001“;m:=0;if u/=“000“ or d/=“000“ or s/=“000“ thena:=1;else a:=0;end if;数字电路课程设计报告 - 7 - if n=0 thendoorf:=“010“;if m=0 thenif s(2)=1 or u(2)=1 thenstate:=kai;s(2):=0;u(2):=0;elsif d(3)=1or s(3)=1 or b=1 thenstate:=c3;b:=0;elsif d(2)=1 or u(1)=1 or s(1)=1 thenm:=1;end if;end if;if m=1 thenif d(2)=1

7、 or s(2)=1 thenstate:=kai;d(2):=0;s(2):=0;elsif u(1)=1 or s(1)=1 or n=1 thenstate:=c1;n:=0;elsif u(2)=1 or d(3)=1 or s(3)=1 thenm:=0;数字电路课程设计报告 - 8 - end if;end if;when c3=m:=1;f:=“100“;if s(3)=1 or d(3)=1 thenstate:=kai;s(3):=0; d(3):=0;elsif d(2)=1 or s(2)=1 thenstate:=c2;elsif u(1)=1 or s(1)=1 th

8、enstate:=c2;n:=1;elsif u(2)=1 then state:=c2;m:=0;end if;when kai=doorMMMMMMMMMQQQQQQnull;end case;end process; end behave;5、总体仿真波形图为:此波形图为在一楼和二楼都有请求时,各个模块的对应波形变化。数字电路课程设计报告 - 13 - 四、四、我的设想我的设想 在本次的设计中虽然基本上达到了基本的最初的要求,但是我认为在设计上仍然存在着一些的不足,例如电梯的超载报警、故障报警、到达楼层后的铃声提示及运行状态的点阵显示 这些功能都未能实现。我相信只要时间允许我肯定能实现我所预想的功能。五、五、总结总结经过这次设计,基本上实现了预期的目标,但是其中也是存在着一些的不足,有待改进,总体上还是成功的。通过本次的课程设计不仅巩固了我们所学习的课本知识,还提高了我们的动手能力。在这次设计过程中发现了很多问题,同时也发现了自身的不足,感谢老师和同学对我们的帮助,我会努力克服何在正自己的弱点,希望以后做的更好。

展开阅读全文
相关资源
正为您匹配相似的精品文档
相关搜索

最新文档


当前位置:首页 > 行业资料 > 其它行业文档

电脑版 |金锄头文库版权所有
经营许可证:蜀ICP备13022795号 | 川公网安备 51140202000112号