教育论文基于e1接口的简易误码仪的设计与实现

上传人:bin****86 文档编号:38514697 上传时间:2018-05-03 格式:DOC 页数:5 大小:28.50KB
返回 下载 相关 举报
教育论文基于e1接口的简易误码仪的设计与实现_第1页
第1页 / 共5页
教育论文基于e1接口的简易误码仪的设计与实现_第2页
第2页 / 共5页
教育论文基于e1接口的简易误码仪的设计与实现_第3页
第3页 / 共5页
教育论文基于e1接口的简易误码仪的设计与实现_第4页
第4页 / 共5页
教育论文基于e1接口的简易误码仪的设计与实现_第5页
第5页 / 共5页
亲,该文档总共5页,全部预览完了,如果喜欢就下载吧!
资源描述

《教育论文基于e1接口的简易误码仪的设计与实现》由会员分享,可在线阅读,更多相关《教育论文基于e1接口的简易误码仪的设计与实现(5页珍藏版)》请在金锄头文库上搜索。

1、基于接口的简易误码仪的设计与实现基于接口的简易误码仪的设计与实现是小柯论文 网通过网络搜集,并由本站工作人员整理后发布的,基于接口的简易误码仪的设计与 实现是篇质量较高的学术论文,供本站访问者学习和学术交流参考之用,不可用于其他商 业目的,基于接口的简易误码仪的设计与实现的论文版权归原作者所有,因网络整理, 有些文章作者不详,敬请谅解,如需转摘,请注明出处小柯论文网,如果此论文无法满足 您的论文要求,您可以申请本站帮您代写论文,以下是正文。摘要本文针对传统误码测试仪的不足,提出了基于 E1 接口的简易误码仪的设计与 实现,以及其特点与优点,它是一种新型的简易误码仪,使用方便且成本低廉。关键词误

2、码率 误码测试仪 FPGA E1当今社会风云万变,掌握了信息就是掌握了财富,因此通信无疑成为人们生活中不 可或缺的部分。而在某些敏感部门,如邮政储蓄、银行、保险、税务、军事,航空航天等, 信息传输的可靠性就显得犹为重要,在数字通信系统传输过程中,无论是设备故障,还是 传播衰落、码间的干扰、邻近波道干扰等,都可能造成系统性能变差,信息内容改变,甚 至造成通信中断,而其结果都是可以通过码元差错的形式表现出来的。误码测试仪就是通 过检测数据传输系统的误码性能指标,对其系统传输质量进行评估,并反映数据传输设备 和其信道工作质量的一个重要设备。在目前的相关领域,需要检测通信系统的可靠性时,通常用的都是传

3、统误码检测仪, 它多为国外产品,虽然检测内容丰富,结果直观,但价格比较昂贵,操作复杂,维修困难, 而国内产品又有待于改进,这都给测试工作带来了诸多不便。另一方面,在电信传输系统 中基于 E1(2M)单元的传输链路应用非常广泛,我国电信传输网就是以 E1 作为标准。此 外,它还普遍应用于 DDN 数据业务、ISDN 综合业务,同时也是 GSM 移动通信网络接口 及 A bis 接口的标准。在互联网宽带接入技术中,E1 也是一种常用的接口方式,相应配套 的 E1 传输设备产品种类的数量也很多,因而对 E1 传输误码仪的需求量也非常大。此外, FPGA 核心控制技术在通信系统中的已经相当成熟,这使得

4、基于 E1 接口 FPGA 简易误码仪 的开发成为现实。该测试仪的优点主要是实现了单程测试,即发射机和接受机处于异地。 它结合 FPGA 及单片机的结构特点进行编程,在 2Mb/s 基带速率上实现位同步和码同步, 用户可以在 LCD 显示模块上直观的了解信道的误码情况。一、概述误码测试仪对线路误码测试的方法很多,但是不管使用何种测试仪,其测试的原理 是一样的,都有一套收发电路。通常误码仪可以分为两个模块:发送模块和接受模块。发送模块将序列信号发送到待测通信信道中,再由接收模块接收,并与原始序列信号相比较, 便可得到信道误码情况。而我们要设计的为一套发送设备和接受设备分处两地的系统,如 果采用固

5、定序列,就不能反映实际情况。因为在实际测试信道误码情况时,测量结果是由 发送端发出的信号特性的统计结果所确定的,在数字信道系统中,固定序列是以二进制 0,1 形式传输的,0,1 在信道中是等概率随机出现,所以测量误码率最理想的测试序列应 该是随机序列。这样才能保证测试结果的普遍性和正确性,所以发送模块的发送序列确定 为伪随机序列。另一个问题就是:接收模块接收到的序列应与哪个序列相比较,才能更好的保证测 试结果的正确性?如果将接收到的序列与发送端发出的序列相比较,这就只能将发送端与 接收端放在同一位置,利用双向信道将接收到的序列再转回发送端来比较,这显然不能实 现设计目的中的异地传接。因此,方案

6、可以确定:首先,由发送模块发出标准的数据信号, 这个标准的数据信号就是伪随机序列,通常采用 m 序列,使其通过待测通信系统构成的信 道;接收端采用同样的 m 序列产生器,并从收到的码流中提取位同步信号;将收到的数据 流与本地产生的数据流逐位比较,并进行误码统计;根据误码码元数和接收到的总码元数, 计算出相应误码率,并输出误码显示。误码仪的简易框图如下图所示。二、系统介绍本误码仪是基于 2Mb/s 基带端口的在线测试设备,它的系统组成主要可以分为两个 部分:发送模块和接收模块。现对这两个部分做概括的分析。1.发送模块首先,我们要解决序列的产生问题,发送部分实质上是一个测试码产生器,它要产 生一个

7、序列信号,用于检测信道质量。传统的通信理论里,原始信源信号为 0,1 等概率且 相互独立的随机数字序列。同样,实际数字通信系统的设计,也是基于这个原理。为了使 测试结果尽可能真实地反映系统的性能,发送端需要采用某种序列作为测试数据,这种数 据序列最重要的特征就是具有近似于随机信号的性能,也可以说具有与噪声相似的性能。 但是,真正的随机信号和噪声是不能重复再现和产生的。所以,只能产生一种周期性的脉 冲信号来近似随机噪声的性能,即伪随机序列。本方案中采用的伪随机序列是 m 序列,这 是由带线性反馈的移位寄存器产生的周期最长的一种序列。虽然是周期信号,但它具有类 似于随机信号的自相关特性。其次,要解

8、决 m 随机序列的时序控制问题,由于我们要检测的是 E1 接口信道的传 输误码情况,故在发送端就需要一个频率为 2.048MHz 的时钟,用以控制产生的 2.048Mb/s 的 m 随机序列的输入,并插入帧同步码,然后将插入帧同步码的 m 随机序列进行编码, 这样就很好解决了输入时序控制问题。在序列输出之前,应通过变压器进行一次单/双极的 变换,再传输到被测信道中去。2.接收模块接收端实际上可以分为三个子模块:本地 m 序列发生子模块,同步子模块,误码率 计算子模块。本地 m 序列发生子模块结构其实与发送端相似,其不同之处在于将接收到的序列置 存在本地 m 序列寄存器中,作为其初始状态,如果两

9、个具有相同逻辑结构的 m 序列发生 器在某一时刻寄存器状态也相同,则这两个 m 序列发生器所产生的数字数据流保持同步。 这样,在本地就可以产生一个与发送端结构相同且比特对齐的 m 序列了。同步判断是非常重要的一项指标,是对误码统计的先决条件,只有不停的对接收端 序列与本地产生序列进行同步判断,确定收,发端序列都处于同步状态,再进行误码统计 才有意义,例如接收端接收到 N 位码序列中包含有误码,那么由此产生的本地序列与发送 端是不一致的,此时就很容易将接收到的含有误码的状态作为本地初始状态,这种现象叫 做“假同步”,同步判断的目的就在于防止出现“假同步”现象,保证传输检测的正确性。在实现了收发双

10、方的同步以后,值得一提的是同步模块的优化问题。同步模块一旦 探测到接收到的误码个数超过了预设的判决标准就会认为收发双方不同步而重新进行同步 判定,这样做不仅降低了误码仪对突发错误的处理能力,更严重的是会导致接收端进行反 复同步,从而大大降低了处理的效率。这时我们就需要纳入“同步保护”机制。位同步子模块用于提取和跟踪位同步时钟,基于 FPGA 可编程的特点,设计中可采 用 Verilog HDL 语言编写模块的方法实现。在实现了收发同步后,就要开始误码测试了。误码测试单元是接收模块中最重要的 一部分,它是由误码计数器和单片机组成。在同步的情况下,误码计算器开始计数。它在 每隔一秒的时间将计数器中

11、的计数值输入到单片机中,再由单片机完成误码率的计算,在 计算时,需要将输入的数据同本地的 m 序列同步,并将同步信息传给码元比较单元。如果 误码率连续超过预定值并时间达到 10S 以上的时候,就可以认定是发生了失步,这时单片 机就会发出命令,通知开关控制电路调节序列同步模块的转换,以便重新开始序列同步过 程。m 序列的捕捉方法有很多,通常用的有相关器法和循环累加法。这两种方法各有优劣, 相关器法捕捉速度快,通常捕捉时间不超过两个 m 序列的周期,但它最大的缺点就是所需 的逻辑资源太多,容易造成系统负荷过重。相比较之下,循环累加法则不需要这么多逻辑 资源,不过捕捉时隙延长,但在测试情况下是可以接

12、受的,所以在测试一起中一般是采用 循环累加法的。三、硬件实现本误码仪的实际电路中还要用到一个 FLEX10K 器件,利用该器件的芯片输入基于接口的简易误码仪的设计与实现是小柯论文 网通过网络搜集,并由本站工作人员整理后发布的,基于接口的简易误码仪的设计与 实现是篇质量较高的学术论文,供本站访问者学习和学术交流参考之用,不可用于其他商 业目的,基于接口的简易误码仪的设计与实现的论文版权归原作者所有,因网络整理, 有些文章作者不详,敬请谅解,如需转摘,请注明出处小柯论文网,如果此论文无法满足 您的论文要求,您可以申请本站帮您代写论文,以下是正文。输出引脚,来实现流码的输入输出,按键控制接口及数据显

13、示接口的连 接。实际电路的发送模块通过软件编程模块实现,在 I/O 口输出时应加入帧同步,在外加变压器实现单/双极性变换后,再作为测试通信系统的输出端。在接收模块,则是同样的 再实现一次单/双/极性变换,将本地产生的与发送端同步的伪随机序列与接收到的码流进 行比较,从而实现误码的检测功能,然后通过记数模块记录错误码元的个数,再传送到显 示模块进行 LCD 显示。四、结束语采用 FPGA 核心控制器件进行误码测试仪的设计,可以很好的提高误码测试仪的程 序可移植性和再升级能力。FPGA 核心控制器件程序的实现采用现下流行的硬件描述语言 VerilogHDL 语言编写,单片机的控制部分由汇编语言编写

14、。本误码仪还具有体积小,功耗 低,性能稳定等优点。如果单片机的工作可以由在 PC 上编写专门的程序来完成,这样算 出来的误码率将更加准确。参考文献:1宋万杰.CPLD 技术及应用M.西安:西安电子科技大学出版社,2000.2樊昌信,徐炳祥,吴成柯等.通信原理(5 版)M.北京:国防工业出版社, 2001.3徐志军,徐光辉.CPLDFPGA 的开发与应用M.北京:电子工业出版社, 2002.4樊昌信.通信原理(第五版)M.北京:国防工业出版社,2002. (作者单位:浙江理工大学信电学院)其他参考文献Baker, Sheridan. The Practical Stylist. 6th ed.

15、New York: Harper & Row, 1985.Flesch, Rudolf. The Art of Plain Talk. New York: Harper & Brothers, 1946.Gowers, Ernest. The Complete Plain Words. London: Penguin Books, 1987.Snell-Hornby, Mary. Translation Studies: An Integrated Approach. Amsterdam: John Benjamins, 1987.Hu, Zhuanglin. 胡壮麟, 语言学教程 M. 北京

16、: 北京大学出版社, 2006.Jespersen, Otto. The Philosophy of Grammar. London: Routledge, 1951.Leech, Geoffrey, and Jan Svartvik. A Communicative Grammar of English. London: Longman, 1974.Li, Qingxue, and Peng Jianwu. 李庆学、彭建武, 英汉翻译理论与技巧 M. 北京: 北京航空航天大学出版社, 2009.Lian, Shuneng. 连淑能, 英汉对比研究 M. 北京: 高等教育出版社, 1993.Ma, Huijuan, and Miao Ju. 马会娟、苗菊, 当代西方翻译理论选读 M. 北京: 外语教学与研究出版社, 2009.Newmark, Peter. Approaches to Translation. London: Pergmon P, 1981.Quirk, Ra

展开阅读全文
相关资源
正为您匹配相似的精品文档
相关搜索

最新文档


当前位置:首页 > 大杂烩/其它

电脑版 |金锄头文库版权所有
经营许可证:蜀ICP备13022795号 | 川公网安备 51140202000112号