基于vhdl的自动售票机设计与仿真毕业论文

上传人:aa****6 文档编号:38149934 上传时间:2018-04-27 格式:DOC 页数:23 大小:581.50KB
返回 下载 相关 举报
基于vhdl的自动售票机设计与仿真毕业论文_第1页
第1页 / 共23页
基于vhdl的自动售票机设计与仿真毕业论文_第2页
第2页 / 共23页
基于vhdl的自动售票机设计与仿真毕业论文_第3页
第3页 / 共23页
基于vhdl的自动售票机设计与仿真毕业论文_第4页
第4页 / 共23页
基于vhdl的自动售票机设计与仿真毕业论文_第5页
第5页 / 共23页
点击查看更多>>
资源描述

《基于vhdl的自动售票机设计与仿真毕业论文》由会员分享,可在线阅读,更多相关《基于vhdl的自动售票机设计与仿真毕业论文(23页珍藏版)》请在金锄头文库上搜索。

1、本科毕业论文(设计)本科毕业论文(设计)( ( 20132013 届届 ) ) 题题 目:目: 基于 VHDL 的自动售票机设计与仿真 学学 院:院: 机电与信息工程学院 专专 业:业: 电子信息工程 学生姓名:学生姓名: 刘方方 学号:学号: 指导教师:指导教师: 赵年顺 职称(学位):职称(学位): 助教 合作导师:合作导师: 职称(学位):职称(学位): 完成时间:完成时间: 20132013 年年 月月 日日 成成 绩:绩: 黄山学院教务处制黄山学院教务处制学位论文原创性声明学位论文原创性声明兹呈交的学位论文,是本人在指导老师指导下独立完成的 研究成果。本人在论文写作中参考的其他个人或

2、集体的研究成 果,均在文中以明确方式标明。本人依法享有和承担由此论文 而产生的权利和责任。声明人(签名):声明人(签名): 年年 月月 日日目目 录录摘要.1英文摘要.21 引言.31.1 EDA 介绍.31.2 VHDL 简介.42 设计要求和分析.52.1 设计要求.52.2 设计分析.52.3 购票系统流程分析.63 自动售票机设计.73.1 maxplus软件工具介绍 .73.2 课题设计过程.84 波形仿真.114.1 硬币购票仿真.114.2 纸币购票仿真.13结束语.14参考文献.14致谢.14附录.160基于基于 VHDLVHDL 的自动售票机设计与仿真的自动售票机设计与仿真机

3、电与信息工程学院 电子信息工程 刘方方()指导老师:赵年顺(助教)摘要摘要:课题设计是用VHDL语言编写自动售票机的运行控制系统,该售票机具有票物信息存 储,进程控制,硬币处理,余额计算,显示等功能。在系统的初始化时开始输入车票的品种、 价格和数量,然后进行自动存储。售票机可以识别的硬币币种为五毛和一元的面额;纸币币种 为一元、二元、五元、十元的面额。当用户投入硬币时,系统将会自动累计数量,然后去对比 地铁票的单价和数量,扣除价格,最后计算余额,找出所得零钱。本设计不考虑硬件的实现, 只是进行了功能的相应仿真,为实验性质的课程设计。关键词关键词:VHDL 语言描述;自动售货机;MXAPLUS;

4、系统仿真1Design and Simulation of Automatic Ticket Vending Machine Based on VHDL Liu FangFang Director:Zhao NianShun (Assistant)(School of Mechanical Electronic -硬币入口entity subway isport(8clk :in std_logic; -系统时钟select_start :in std_logic; -“开始选择”按钮insert_start:in std_logic; -“开始投币”按钮note:in std_logic_v

5、ector(3 downto 0); -纸币入口cancel:in std_logic; -“取消”按钮ticket_stop:in std_logic_vector(3 downto 0); -出站口编号ticket_account:in std_logic_vector(1 downto 0); -购票张数money_gate :out std_logic_vector(1 downto 0); -钱币“暂存杆”ticket_out:out std_logic_vector(4 downto 0);-购买的车票ticket_gate:out std_logic;-出票口change_gat

6、e:out std_logic-找零口);end subway;当投入硬币时其代码为:case coin is-硬币识别when “01“=total_insert:=total_insert+“; -5 角硬币when “10“=total_insert:=total_insert+“; -1 元硬币when others=null;end case;当投入纸币时代码为:case note is-纸币识别when “0001“=-1 元纸币total_insert:=total_insert+“; -重新计算投币总额when “0010“=total_insert:=total_insert

7、+“; -2 元纸币when “0100“=total_insert:=total_insert+“; -5 元纸币when “1000“=total_insert:=total_insert+“; -10 元纸币when others=null;9end case;接着就是将设计项目设计成工程文件。对于当前工作工程的切换,需要我们在设计中使用软件时进行设置。把我们需要设置的project设置为当前的project。其设置可在菜单栏中单击“FILE”下拉菜单中选“project”,再选择“set project to current file”,如下图3-4所示。设置为当前工程后就要对其进行编译检查文件工程是否存在设计中的异常,也就是检查程序设计是否可以通过编译器的检查。如无异常则程序OK,反之则需要重新修改程序。通过菜单栏的“Max+plus”中的“compiler”即可开始编译,出现选择开始的编译选项框,如下图3-5所示。图3-4 设置工程文件图3-5 编译开始选择点击“start”即可完成编译,得到编译结果(如图3-6) 。图3-6 编译结果编译完成后,点击“File”下拉菜单中的“Edit symbol”进行硬件脚位仿真和验证。再新建图形编译文件工程,在编辑框中右键点击加载“Edit symbol”。具体脚位图如10图

展开阅读全文
相关资源
相关搜索

当前位置:首页 > 学术论文 > 毕业论文

电脑版 |金锄头文库版权所有
经营许可证:蜀ICP备13022795号 | 川公网安备 51140202000112号