基于vhdl语言的数字钟设计

上传人:第*** 文档编号:35549998 上传时间:2018-03-17 格式:DOC 页数:13 大小:460.50KB
返回 下载 相关 举报
基于vhdl语言的数字钟设计_第1页
第1页 / 共13页
基于vhdl语言的数字钟设计_第2页
第2页 / 共13页
基于vhdl语言的数字钟设计_第3页
第3页 / 共13页
基于vhdl语言的数字钟设计_第4页
第4页 / 共13页
基于vhdl语言的数字钟设计_第5页
第5页 / 共13页
点击查看更多>>
资源描述

《基于vhdl语言的数字钟设计》由会员分享,可在线阅读,更多相关《基于vhdl语言的数字钟设计(13页珍藏版)》请在金锄头文库上搜索。

1、1一程序代码及其仿真:一程序代码及其仿真:1cnt60 子模块代码:子模块代码: library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all;ENTITY V_cnt60 IS PORT ( clk :IN std_logic;Q0,Q1,Q2,Q3,Q4,Q5,Q6,QC :OUT std_logic); END V_cnt60;ARCHITECTURE func OF V_cnt60 ISSIGNAL count1 :std_logic_vector(3 downto 0); SIGNAL count

2、2 :std_logic_vector(3 downto 0); SIGNAL carryin:std_logic; BEGINQ0 LED1LED1LED1LED1LED1LED1 NULL; ENDCASE; END process;process(code) BEGIN CASEcodeIS WHEN “0000“= SE_A SE_A SE_A SE_A SE_A SE_A SE_A SE_A SE_A SE_A SE_A SE_A SE_A SE_A SE_A SE_A SE_A segment segment segment segment segment segment segment segment segment segment segment segment segment segment segment segment segment Q1 Q1 Q1 Q1 Q1 Q1 Q1 Q1 NULL;END CASE;END IF; END PROCESS; Q= Q1; END HBV;mul8_1 仿真波形:仿真波形:二二总体资源占用率:总体资源占用率:50%

展开阅读全文
相关资源
相关搜索

当前位置:首页 > 建筑/环境 > 工程造价

电脑版 |金锄头文库版权所有
经营许可证:蜀ICP备13022795号 | 川公网安备 51140202000112号