vhdl各种d触发器程序

上传人:第*** 文档编号:34611446 上传时间:2018-02-26 格式:DOC 页数:6 大小:52.88KB
返回 下载 相关 举报
vhdl各种d触发器程序_第1页
第1页 / 共6页
vhdl各种d触发器程序_第2页
第2页 / 共6页
vhdl各种d触发器程序_第3页
第3页 / 共6页
vhdl各种d触发器程序_第4页
第4页 / 共6页
vhdl各种d触发器程序_第5页
第5页 / 共6页
点击查看更多>>
资源描述

《vhdl各种d触发器程序》由会员分享,可在线阅读,更多相关《vhdl各种d触发器程序(6页珍藏版)》请在金锄头文库上搜索。

1、第一题:普通触发器LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;ENTITY Dchu ISPORT (CLK,D:IN STD_LOGIC;Q:OUT STD_LOGIC);END;ARCHITECTURE FFQ OF Dchu ISSIGNAL Q1:STD_LOGIC;BEGINPROCESS (CLK,Q1)BEGINIF CLKEVENT AND CLK=1THEN Q1=D;END IF;END PROCESS;Q=Q1;END FFQ;第二题:异步清零触发器LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;EN

2、TITY Dchu ISPORT (CLK,D:IN STD_LOGIC;Q:OUT STD_LOGIC;ACLK:IN STD_LOGIC);END;ARCHITECTURE FFQ OF Dchu ISSIGNAL Q1:STD_LOGIC;BEGINPROCESS (ACLK,CLK,Q1)BEGINIF ACLK=1 THEN Q1=0;ELSIF CLKEVENT AND CLK=1THEN Q1=D;END IF;END PROCESS;Q=Q1;END FFQ;第三题:同步清零触发器LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;ENTITY D

3、chu ISPORT (CLK,D:IN STD_LOGIC;Q:OUT STD_LOGIC;SCLK:IN STD_LOGIC);END;ARCHITECTURE FFQ OF Dchu ISSIGNAL Q1:STD_LOGIC;BEGINPROCESS (SCLK,CLK,Q1)BEGINIF CLKEVENT AND CLK=1 THENIF SCLK=1 THEN Q1=0;ELSE Q1=D;END IF;END IF;END PROCESS;Q=Q1;END FFQ;第四题:异步置位 apreLIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;ENT

4、ITY Dchu ISPORT (CLK : IN STD_LOGIC;D : IN STD_LOGIC;Q :OUT STD_LOGIC;APRE :IN STD_LOGIC);END;ARCHITECTURE FFQ OF Dchu ISSIGNAL Q1:STD_LOGIC;BEGINPROCESS (APRE,CLK,Q1)BEGINIF APRE=1THEN Q1=1;ELSIF CLKEVENT AND CLK=1THEN Q1=D;END IF;END PROCESS;Q=Q1;END FFQ;第五题:同步置位 spreLIBRARY IEEE;USE IEEE.STD_LOGI

5、C_1164.ALL;ENTITY Dchu ISPORT (CLK : IN STD_LOGIC;D : IN STD_LOGIC;Q :OUT STD_LOGIC;SPRE :IN STD_LOGIC);END;ARCHITECTURE FFQ OF Dchu ISSIGNAL Q1:STD_LOGIC;BEGINPROCESS (SPRE,CLK,Q1)BEGINIF CLKEVENT AND CLK=1 THENIF SPRE=1 THEN Q1=1;ELSE Q1=D;END IF;END IF;END PROCESS;Q=Q1;END FFQ;第六题:异步清零,异步置位LIBRAR

6、Y IEEE;USE IEEE.STD_LOGIC_1164.ALL;ENTITY Dchu ISPORT (CLK : IN STD_LOGIC;ACLR : IN STD_LOGIC;APRE : IN STD_LOGIC;D : IN STD_LOGIC;Q :OUT STD_LOGIC);END;ARCHITECTURE FFQ OF Dchu ISSIGNAL Q1:STD_LOGIC;BEGINPROCESS (APRE,ACLR,CLK,Q1)BEGINIF ACLR=1 THENQ1=0;ELSIF APRE=1THEN Q1=1;ELSIF CLKEVENT AND CLK=

7、1THEN Q1=D;END IF;END PROCESS;Q=Q1;END FFQ;第七题:同步使能LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;ENTITY Dchu ISPORT (CLK : IN STD_LOGIC;D : IN STD_LOGIC;Q :OUT STD_LOGIC;EN : IN STD_LOGIC);END;ARCHITECTURE FFQ OF Dchu ISSIGNAL Q1:STD_LOGIC;BEGINPROCESS (EN,CLK,Q1)BEGINIF CLKEVENT AND CLK=1 THENIF EN=1 TH

8、EN Q1=D;END IF;END IF;END PROCESS;Q=Q1;END FFQ;第八题:异步清零,置位,同步使能LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;ENTITY Dchu ISPORT (CLK : IN STD_LOGIC;EN : IN STD_LOGIC;ACLR : IN STD_LOGIC;APRE : IN STD_LOGIC;D : IN STD_LOGIC;Q :OUT STD_LOGIC);END;ARCHITECTURE FFQ OF Dchu ISSIGNAL Q1:STD_LOGIC;BEGINPROCESS (APRE,ACLR,EN,CLK,Q1)BEGINIF ACLR=1 THENQ1=0;ELSIF APRE=1THEN Q1=1;ELSIF CLKEVENT AND CLK=1 THENIF EN=1 THENQ1=D;END IF;END IF;END PROCESS;Q=Q1;END FFQ;

展开阅读全文
相关资源
相关搜索

当前位置:首页 > 办公文档 > 解决方案

电脑版 |金锄头文库版权所有
经营许可证:蜀ICP备13022795号 | 川公网安备 51140202000112号