fpga控制vga

上传人:第*** 文档编号:34252400 上传时间:2018-02-22 格式:DOCX 页数:16 大小:184.54KB
返回 下载 相关 举报
fpga控制vga_第1页
第1页 / 共16页
fpga控制vga_第2页
第2页 / 共16页
fpga控制vga_第3页
第3页 / 共16页
fpga控制vga_第4页
第4页 / 共16页
fpga控制vga_第5页
第5页 / 共16页
点击查看更多>>
资源描述

《fpga控制vga》由会员分享,可在线阅读,更多相关《fpga控制vga(16页珍藏版)》请在金锄头文库上搜索。

1、基于 FPGA 的 VGA 图象信号发生器设计1、引言VGA(视频图形阵列)作为一种标准的显示接口在视频和计算机领域得到了广泛的应用。VGA 图像信号发生器是电视台、电视机生产企业、电视维修人员常用的仪器,其主要功能就是产生标准的图像测试信号。VGA 图像信号发生器的设计涉及到图像数据的处理,对电路的工作速度和性能要求较高,VGA 工业标准要求的时钟频率高达 25MHz,使用传统的电子电路设计方法是难以实现的。采用专用的视频处理芯片,其设计技术难度大、开发成本高。本文采用 FPGAMCU 方案,利用了 Cyclone 系列的 FPGA 高达上百兆的工作频率特性为图像数据处理提供了良好的实时性,

2、其内部集成的数字锁相环为系统的工作时钟提供的良好的稳定性,其内部嵌入的存储器可以存储一定容量的图像信息,丰富的 I/O 资源可以随即扩展外接大容量存储器的特性,因此由 FPGA 完成对图像数据的处理及产生行场扫描时序信号。很好地实现了图象数据处理的实时性和稳定性,达到了性能与价格的完美统一。此外,FPGA 的电路可重构性,为系统功能更改和升级以及功能扩展提供了很大的设计空间。由微控制器完成功能设置与控制,如键盘扫描,模式选择与显示控制等。2、系统的工作原理和组成框图FPGA 是整个系统的核心,通过对其编程可输出 RGB 三基色信号 和 HS 、VS 行场扫描同步信号。当 FPGA接受单片机输出

3、的控制信号后,内部的数据选择器模块根据控制信号选通相应的图像生成模块,输出图像信号,与行场扫描时序信号一起通过 15 针 D 型接口电路送入 VGA 显示器,在 VGA 显示器上便可以看到对应的彩色图像。FPGA 所需的工作时钟由外部高精度有源晶振提供;单片机控制器分析键盘扫描结果,控制液晶显示模块显示相应的功能,由 LCD 显示输出图象和按键控制模式,并送出相应控制信号给 FPGA,系统原理框图如图 1。3、VGA 显示器原理工业标准的 VGA 显示模式为:64046816 色60Hz 。常见的彩色显示器,一般由 CRT (阴极射线管)构成,彩色是由 R、G、B(红、绿、蓝)三基色组成, C

4、RT 用逐行扫描或隔行扫描的方式实现图像显示,由 VGA控制模块产生的水平同步信号和垂直同步信号控制阴极射线枪产生的电子束,打在涂有荧光粉的荧光屏上,产生 R、G、B 三基色,合成一个彩色像素。扫描从屏幕的左上方开始,由左至右,由上到下,逐行进行扫描,每扫完一行,电子束回到屏幕下一行的起始位置,在回扫期间,CRT 对电子束进行消隐,每行结束是用行同步信号 HS 进行行同步;扫描完所有行,再由场同步信号 VS 进行场同步,并使扫描回到屏幕的左上方,同时进行场消隐,预备下一场的扫描。行同步信号 HS 和场同步信号 VS 是两个重要的信号。显示过程中,HS 和 VS 的极性可正可负,显示器内可自动转

5、换为正极性逻辑。行同步信号 HS 和场同步信号 VS 的时序图如图 2 所示, T1 为行同步消隐(约为 6s);T2 为行显示时间(约为 26s);T3 为场同步消隐(两个行周期);T4 为场显示时间(480 个行周期)。行同步信号 HS 和场同步信号 VS 与图象信号 R、G、B 的时序关系如图 3 所示。对于 VGA 显示器的上述五个信号的时序驱动要严格遵循“VGA 工业标准”,即 64048060HZ 模式,否则无法显示正确地图象。VGA 工业标准要求的频率:时钟频率:25.175MHz( 像素输出的频率)行频: 31469Hz场频: 59.94Hz(每秒图像刷新频率)图象信号显示的颜

6、色种类与表示 R、G、B 三基色的二进制数位数有关,表 1 列出了 8 种颜色的编码方式。 4、系统设计4.1 图像信号产生模块的设计产生图像信号的核心器件采用 Altera 公司的 Cyclone FPGA 芯片 EP1C3T144C8N。它具有多达 20060 个逻辑单元。最大用户 I/O 数 104 个。器件中 M4K 存储块提供 288kbit 存储容量,能够被配置来支持多种操作模式,包括 RAM、ROM、FIFO 及单口和双口模式。 Cyclone 器件具有高级外部存储器接口,允许设计者将外部单数据率(SDR )SDRAM ,双数据率(DDR)、SDRAM 和 DDR FCRAM 器

7、件集成到复杂系统设计中,而不会降低数据访问的性能。并且还具有两个可编程锁相环(PLL)和八个全局时钟线,能提供时钟管理和频率合成,实现最大的系统功能。根据 VGA 显示原理,图象信号产生器的主要功能是:产生时序驱动信号 HS、VS 及 VGA 彩色图象编码信号,同时在正确的时序控制下,输出 ROM 中的象素数据至显示器的 VGA 接口,进行图象显示。FPGA 内部电路原理结构如图 4。本文利用 Altera 公司 Quartus6.0 开发平台,遵循自顶向下的设计方法,针对各功能模块,采用 VHDL 语言对FPGA 器件编程,产生 HS 和 VS 扫描时序信号及各种图象信号。VGA 时序信号是

8、图象显示的关键,行场扫描时序的产生,是利用逻辑编程的方法实现的,即用 VHDL 编写分频器,计时器模块,来获得 T1、T2、T3 、T4 时序。当输出数字、彩条信号和棋盘格图象时,由外部 12M 有源晶振提供时钟输入,其中行频 HS:12MHZ 1329=31830Hz、场频VS: 31830Hz4800.93=61.67Hz、T1=1/31830Hz4/29=25.96us 、T2=1/31830Hz5/29=6.04us、T3 为两个行周期(T1+T2),T4 为 480 个行周期。图象信号包括数字、彩条、棋盘格,和 ROM 中定制的图形等。数字信号和彩条信号的产生是按行场方向将屏幕各进行

9、 8 等分,相当于一个 88 的点阵,在对应位置显示相应颜色即可获得所需图像信号;棋盘格信号是将横彩条和竖彩条相异或获得。ROM 中定制的较为复杂的彩色图像,需采用像素点输出,即将图像各像素点的信息存储于 ROM 中,再以一定的频率输出。 FPGA 器件 ROM 的定制有两种方法:第一种方法是利用FPGA 器件的嵌入式存储器定制 LPM_ROM,用.MIF 文件或.HEX 文件对其进行初始化,这种方法获得的 ROM最大寻址空间为 2 12,可以存储一幅分辨率为 6464 的图像信息;第二种方法是在 FPGA 逻辑资源的限度内用 VHDL 语言定制一个 ROM,采用 CASE 语句对其进行初始化

10、,这种方法获得的 ROM 在存储深度较大时,编译时对时间的开销较大。ROM 初始化完成后,在 25MHz 的时钟频率下输出存储的图像信息。其图象颜色种类的多少取决于存储空间的大小。ROM 定制的图象信息是利用 FPGA 嵌入的存储器定制 LPM_ROM,可以用于存储一幅 6464 分辨率的图像信息,数据线宽为 3 位,地址线 12 根,采用组合寻址方式,即行地址 HSADDRESS 占低 6 位,场地址VSADDRESS 占高 6 位;若要显示更为复杂的图象信息,只需扩展存储器及寻址的数据线宽度,为了保证行地址信号输出与行扫描信号输出同步,场地址信号输出与场扫描信号输出同步,在 VHDL 编程

11、时,可用25MHz 时钟作为进程的启动信号。输出信号的时序波形如图 6 所示。各种图象信号的输出是由数据选择器通过 VHDL 编程实现的。4.2 视频输出接口电路部分设计VGA 接口采用非对称分布的 15pin 连接方式,其工作原理是将显存内以数字格式存储的图像( 帧) 信号在RAMDAC 里经过模拟调制成模拟高频信号,然后进行输出显示,这样 VGA 信号就不必像其它视频信号那样还要经过矩阵解码电路的换算。从视频成像原理可知 VGA 的视频传输过程是最短的,所以 VGA 接口拥有许多的优点,如无串扰、无电路合成分离损耗等。视频输出与 VGA 接口如图 7。4.3 模式控制与显示部分设计为了实现

12、人机对话,模式控制与显示即人机接口的设计,选用 LCD 显示器和矩阵键盘,使接口和显示更加友好。要求能根据键盘扫描结果,控制不同的图象信号输出,并进行相应的功能显示。采用单片机 89S51 作为控制器,对键盘模块和功能显示模块进行控制。用 C 语言编程,对键盘进行扫描和液晶显示模块的控制。当然也可以对 FPGA 器件编程,实现对键盘模块和功能显示模块的控制。但需占用 FPGA 器件的逻辑资源,会对定制图像信息的存储空间造成影响。本设计采用 44 矩阵式键盘,行、列线占用单片机 8 个 I/O 口资源,键盘扫描过程是列扫描行输出,逐列扫描,读取键值,根据读回的值判断所按键的位置,按键消抖采用延时

13、消抖方式,根据键值跳转执行相应功能程序。显示器采用 TS-12864-3 液晶显示屏,由单片机控制及驱动,显示系统当前工作状态等信息。5、结束语 随着数字图像处理的应用领域不断扩大,其实时处理技术成为研究的热点。EDA(电子设计自动化)技术的迅猛发展为数字图像实时处理技术提供了硬件基础。其中 FPGA 的特点使其非常适用于进行一些基于像素级的图像处理。 本文设计的基于 FPGA 的数字图像实时生成系统,可以实现各种数字、文字、彩条、ROM 图像信号输出。其图像显示控制器的系统处理耗时小于 20ms,完全达到了实时要求(50 场/秒)。所进行的原理试验取得了良好的效果。本系统可以作为显示器测试信

14、号发生器,适用于显示器生产厂或者维修人员 、计算机房、以及单位用户、甚至个人用户,进行无需连接主机的画面演示、测试、检查,和维修后调试,通过对标准图形的观察和分析,能够判断显示器的总体性能或维修后效果。本设计还可以作一些扩展,如添加语音处理电路,实现图像输出时同步输出语音;还可以外接大容量存储器,采用 8 位二进制数据表示 R、G、B 三基色,实现 256 色输出,使显示的图像色彩更加丰富。参考文献1 张亚平,贺占庄.基于 FPFA 的 VGA 显示模块设计J.计算机技术与发展,2007,(06):242-245.2 王立娜,郭书军.基于 Nios的 VGA 彩条信号显示的实现J.现代电子技术

15、,2007,(3):192-194.3 高有堂,乔建良,徐源.EDA 技术及应用实践M. 北京:清华大学出版社,2006:67-75.4 潘松. EDA 技术实用教程 M. 北京:科学技术出版社,2003:339-341.5 于伟,徐冉.一种适用于智能仪表显示模块的软硬件构架. J.现代电子技术,2007 (06):191293.6 黄战华,刘世旺.嵌入式高分辨率 VGA 图象显示方法研究J.电子技术应用 2007(4)25267 黄仁欣.EDA 技术实用教程M .北京:清华大学出版社,2006.98 赵茂泰. 智能仪器原理及应用M. 北京:电子工业出版社, 2004.6方案 21、 输入信号

16、 clk : 时钟(每个象素点的显示时钟) reset : 复位信号 2、 输出信号 vga_hs_control : 行同步 vga_vs_control : 场同步 ; vga_read_dispaly : 红 vga_green_dispaly : 绿 vga_blue_dispaly : 蓝 3、 技术参数 clk : 24M hs : 30KHZ vs : 57.14HZ 设计原理 VGA( 视频图形阵列 ) 作为一种标准的显示接口得到广泛的应用 , 一般有专用芯片,本实验采用 FPGA( 现场可编程门阵列 ) 设计 VGA 接口可以将要显示的数据直接送到显示器,节省了计算机的处理过程,加快了数据的处理速度,节约了硬件成本。 显示适配器有多种形式,它可按照所符合的视频显示标准来分类,业界制定了多种显示标准,从最初的 MDA 经历了 CGA , EGA , VGA , XGA,SVGA 等的发展过程。与相应

展开阅读全文
相关资源
相关搜索

当前位置:首页 > 办公文档 > 解决方案

电脑版 |金锄头文库版权所有
经营许可证:蜀ICP备13022795号 | 川公网安备 51140202000112号