vhdl源代码-计数器

上传人:第*** 文档编号:34208344 上传时间:2018-02-21 格式:DOC 页数:19 大小:39KB
返回 下载 相关 举报
vhdl源代码-计数器_第1页
第1页 / 共19页
vhdl源代码-计数器_第2页
第2页 / 共19页
vhdl源代码-计数器_第3页
第3页 / 共19页
vhdl源代码-计数器_第4页
第4页 / 共19页
vhdl源代码-计数器_第5页
第5页 / 共19页
点击查看更多>>
资源描述

《vhdl源代码-计数器》由会员分享,可在线阅读,更多相关《vhdl源代码-计数器(19页珍藏版)》请在金锄头文库上搜索。

1、十五计数器library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;ENTITY fiveteencout ISPORT(clk,reset,enable : IN std_logic; count : OUT std_logic_vector(3 downto 0);END fiveteencout;ARCHITECTURE counter OF fiveteencout ISSIGNAL count_int:std_logic_vector(0 to 3);BEGINPROCESS(clk,reset)

2、BEGINWAIT UNTIL rising_edge(clk);IF reset = 1 THENcount_int 0);ELSIF enable = 1 THENIF(count_int=1110) THENcount_int 0);ELSIF enable = 1 THENIF(count_int=1101) THENcount_int 0);ELSIF enable = 1 THENIF(count_int=1100) THENcount_int 0);ELSIF enable = 1 THENIF(count_int=1011) THENcount_int 0);ELSIF ena

3、ble = 1 THENIF(count_int=1010) THENcount_int 0);ELSIF enable = 1 THENIF(count_int=1001) THENcount_int 0);ELSIF enable = 1 THENIF(count_int=1000) THENcount_int 0);ELSIF enable = 1 THENIF(count_int=111) THENcount_int 0);ELSIF enable = 1 THENIF(count_int=101) THENcount_int 0);ELSIF enable = 1 THENIF(co

4、unt_int=11) THENcount_int=00;ELSEcount_int = count_int 1;-ELSE- NULL ;-IF (count_int=1001) THEN-count_int=0000;END IF;END IF;END PROCESS;count = count_int;- IF (reset=0) then-q=0000;-ELSIF(clkevent and clk=1) THEN-q=q 1;-IF (q=1001) then-q=0000;-END IF;-IF (reset=1)THEN-q=00;-ELSIF-wait until (clkev

5、ent and clk=1);-WAIT UNTIL (clkEVENT AND clk = 1);-WAIT UNTIL (clockEVENT AND clock = 1);- q=q 1;-end if;-count=q;- WAIT UNTIL clock = 1;-if (clockevent and clock=1)then-WAIT UNTIL rising_edge(clock);-clockevent and clock=1;-count = 0;-WAIT UNTIL (clockEVENT AND clock = 1);-WAIT riseedge clock = 1;-if (clockevent and clock=1) then-WAIT UNTIL rising_edge(clock);-count = 1;-WAIT UNTIL (clockEVENT AND clock = 1);-WAIT UNTIL clock = 1;-if (clockevent and clock=1)then-WAIT UNTIL rising_edge(clock);-count = 2;-end if;-end if;-end if;- END PROCESS;END counter;

展开阅读全文
相关资源
相关搜索

当前位置:首页 > 办公文档 > 解决方案

电脑版 |金锄头文库版权所有
经营许可证:蜀ICP备13022795号 | 川公网安备 51140202000112号