modelsim保存波形说明

上传人:mg****85 文档编号:34181642 上传时间:2018-02-21 格式:DOC 页数:1 大小:24.50KB
返回 下载 相关 举报
modelsim保存波形说明_第1页
第1页 / 共1页
亲,该文档总共1页,全部预览完了,如果喜欢就下载吧!
资源描述

《modelsim保存波形说明》由会员分享,可在线阅读,更多相关《modelsim保存波形说明(1页珍藏版)》请在金锄头文库上搜索。

1、怎么样保存仿真的完整波形(不是 printScreen) ,Fileexportimage 好象和截屏的功能一样,只能保存一部分波形,怎么样保存成 modelsim 认识的波形,下次看的时候只需要打开这个波形图,不需要重新仿真,这个在 vcs 中可以的,用 vcd 文件,好像 modelsim 也支持dump vcd 的,不知道具体怎么做,怎样保存,怎么样打开?请同行指点,不胜感激!还有,wave.do 文件是怎么回事?打开后好像是文字形式的,如果是波形图就达到我的要求了。使用dataset save sim filename.wlf 保存仿真波形,filename.wlf 文件的名字可以自己

2、任意取。打开时候直接,open filename.wlf 文件就可以了。直接通过 OPEN 找到.WLF 文件,打开就可以了.像 2 楼那样说的,保存 wlf,下一次只要直接打开它就可以了。但是有这样的情况出现,如果在保存 wlf 前,你改动了某些信号的位置,下一次打开是会回到原先的位置,此时你可以把 do 文件一起保存,在下一次打开 wlf 文件后,再打开 do 文件,你先前的顺序就会回来6波形信号的保存:有时,在波形窗口内拖放了较多的信号,可以保存起来以后调入。在wave 窗口,File - Save format,保存成*.do 文件。以后需要调入时,在 modelsim 主窗口命令行内执行:do *.do 即可。

展开阅读全文
相关资源
相关搜索

当前位置:首页 > 生活休闲 > 科普知识

电脑版 |金锄头文库版权所有
经营许可证:蜀ICP备13022795号 | 川公网安备 51140202000112号