VHDL语言的主要描述语句

上传人:野鹰 文档编号:3191600 上传时间:2017-07-31 格式:DOC 页数:17 大小:126.50KB
返回 下载 相关 举报
VHDL语言的主要描述语句_第1页
第1页 / 共17页
VHDL语言的主要描述语句_第2页
第2页 / 共17页
VHDL语言的主要描述语句_第3页
第3页 / 共17页
VHDL语言的主要描述语句_第4页
第4页 / 共17页
VHDL语言的主要描述语句_第5页
第5页 / 共17页
点击查看更多>>
资源描述

《VHDL语言的主要描述语句》由会员分享,可在线阅读,更多相关《VHDL语言的主要描述语句(17页珍藏版)》请在金锄头文库上搜索。

1、 VHDL 语言的主要描述语句按照语句的执行顺序对 VHDL 语言进行分类,包含两类语句: 并行描述语句 该语句的执行与书写顺序无关,总是同时被执行 顺序描述语句 从仿真的角度,该语句是顺序执行的进程语句(PROCESS)是最典型的并行语句,一个构造体内可以有几个进程语句同时存在,而且并发执行。但是进程内部的所有语句都是顺序语句。一、顺序描述语句顺序描述语句只能用在进程和子程序中,它和其他高级语言一样,其语句是按照语句的出现的顺序加以执行的。如下分别介绍有关的顺序描述语句.1. WAIT 语句进程在执行过程中总是处于两种状态:执行或挂起,进程的状态变化受等待语句的控制,当进程执行到等待语句,就

2、被挂起,并等待再次执行进程.等待语句的格式:WAIT 无限等待WAIT ON 敏感信号变化WAIT UNTIL 条件满足WAIT FOR 时间到(1)WAIT ON格式:WAIT ON 信号,信号例 5-1PROCESS(a,b)BEGINy顺序处理语句ENDCASE;其中 WHEN 的条件表达式可以有 4 种形式:WHEN 值=顺序处理语句WHEN 值|值|值|值=顺序处理语句WHEN 值 TO 值=顺序处理语句WHEN OTHERS=顺序处理语句例:5-9LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;ENTITY mux43 ISPORT(a,b,i0,i1,i2,i3 :IN STD_LOGIC;q : OUT STD_LOGIC);END mux43;ARCHITECTURE mux4_behave OF mux43 ISSIGNAL sel: INTEGER RANGE 0 TO 3;BEGINnn: PROCESS(a,b,i0,i1,i2,i3)BEGINselqqqqyyyyyyyyy y y y y y y y y y RETURN TURE;WHEN OTHERS=NULL;END CASE;RETURN FALSE;END;

展开阅读全文
相关资源
正为您匹配相似的精品文档
相关搜索

最新文档


当前位置:首页 > 行业资料 > 其它行业文档

电脑版 |金锄头文库版权所有
经营许可证:蜀ICP备13022795号 | 川公网安备 51140202000112号