EDA电子钟课程设计----电子钟的设计

上传人:lizhe****0001 文档编号:31223685 上传时间:2018-02-06 格式:DOC 页数:17 大小:4.88MB
返回 下载 相关 举报
EDA电子钟课程设计----电子钟的设计_第1页
第1页 / 共17页
EDA电子钟课程设计----电子钟的设计_第2页
第2页 / 共17页
EDA电子钟课程设计----电子钟的设计_第3页
第3页 / 共17页
EDA电子钟课程设计----电子钟的设计_第4页
第4页 / 共17页
EDA电子钟课程设计----电子钟的设计_第5页
第5页 / 共17页
点击查看更多>>
资源描述

《EDA电子钟课程设计----电子钟的设计》由会员分享,可在线阅读,更多相关《EDA电子钟课程设计----电子钟的设计(17页珍藏版)》请在金锄头文库上搜索。

1、1EDA 课程设计(电子钟的设计)姓名:刘峰语学号:200820303110指导老师:谢斌同组人员:熊成、杨彬彬系别:自动化工程系专业:08 级测控技术与仪器2一设计要求:1.能实现时,分,秒计时。2.能实现整电报时。3.能进行对时和分的校准。二实验目的:1.掌握多位计数器相连的方法。2.掌握十六进制,二十四进制,六十进制计数器的设计方法。3.握 CPLD 技术的层次化设计法。4.了解软件的元件管理含义以及模块元件之间的连接概念。5.掌握电子电路一般的设计方法,并了解电子产品的研制开发过程,基本掌握电子电路安装和调试方法。6.培养独立分析问题,团结解决问题的能力。三硬件要求:1. 8 位 8

2、段扫描共阴极数码显示管。2. 三个按键开关(清零,校时,校分) 。四设计原理1.数字钟的计时周期为 24 小时,显示满刻度为 23 时 59 分 59 秒,另外具备校时功能和报时功能。因此,一个基本的数字钟主要由“时” “分” “秒”计数器校时电路组成。将标准信号送入“秒计数器” , “秒计数器”采用 60 进制计数器,每累加 60 秒发送一个“分脉冲”信号,该信号将被送到“时计数器” , “时计数器”采用 24进制计数器,可实现对一天 24 小时的累计。译码显示电路将“时” “分” “秒”计数器的输出状态六段显示译码器译码。通过六位 LED 七段显示器显示出来32.校时电路是用来对“时” “

3、分”显示数字进行校时调整的。3.顶层原理图如下:图 1 顶层文件原理图时序仿真:程序仿真主要由计数器完成,在时钟脉冲作用下,完成始终功能,由时序图可以看出每个时钟上升沿到来时加一,当接受到 REST 信号,即 REST 为高电平,所有计数为零,并重新计数,SETMIN 和 SETHOUR 可以完成调节时钟功能,都是高电平调节,每来一个脉冲,相应的时或分加 1。图 2 时序仿真五电子时钟模块设计1. 分频模块 FENPIN 设计模块 FENPIN 原理图如下:图 3 FENPIN 原理图4FENPIN 源程序library ieee;use ieee.std_logic_1164.all;use

4、 ieee.std_logic_unsigned.all;entity FENP isport(clk1:in std_logic;clk:out std_logic);end;architecture one of FENP issignal a:std_logic_vector(8 downto 0);signal b,c:std_logic;beginprocess(clk1)beginif clk1event and clk1=1 thenif a=100000000 thenbdaoutdaout(2 downto 0)daoutdaout(2 downto 0)daoutdaout

5、(1 downto 0)null;end case; end if; end process;selddddddnull;end case; end process;process(d)begincase d iswhen 0000=yyyyyyyyyynull;end case;end process;end;10仿真波形如下:图 13 QIDUAN 的波形图7模块 BAOS 设计BAOS 原理图如下,当 DAIN 为 0 的时候 SPEAK 发声,在这段发声时间内 LAMP 的三个灯相继点亮。图 14 BAOS 原理图BAOS 源程序如下:library ieee;use ieee.std

6、_logic_1164.all;use ieee.std_logic_unsigned.all;entity baos isport (clk: in std_logic;dain: in std_logic_vector(6 downto 0);speak:out std_logic;camp:out std_logic_vector(2 downto 0);end;architecture two of baos issignal a:std_logic_vector( 2 downto 0);beginprocess(clk)beginif (clkevent and clk=1) th

7、enif dain=0000000 thena camp camp camp campdxdxdxdxyy yyyyyyyyyyyyyyyyy=01000000;end case; end process; end;波形仿真图如下:图 1-11 波形仿真图实验总结:1. 自己针对顶层文件的修改:a.如果将下图 CLK 接到 CLR 上则将出现选择出错。即:输入的信号的频率和选择器的频率一致,因此它将始终停在一个状态。b.如果将下图的 SEL 对 GE,SHI 的选择交换,则会出现计时反向;如果将个、十交换位置。则将造成计时器的时间出错。2.体会通过本次设计,让我更加对日常生活中的事物产生了兴趣,了解到现实中的一件事都是有规律的。只要认真对其分析,就能找到相应的结果。

展开阅读全文
相关资源
相关搜索

当前位置:首页 > 学术论文 > 毕业论文

电脑版 |金锄头文库版权所有
经营许可证:蜀ICP备13022795号 | 川公网安备 51140202000112号