课程设计(论基于AT89C52单片机的电机设计

上传人:aa****6 文档编号:29271576 上传时间:2018-01-23 格式:DOC 页数:25 大小:1.03MB
返回 下载 相关 举报
课程设计(论基于AT89C52单片机的电机设计_第1页
第1页 / 共25页
课程设计(论基于AT89C52单片机的电机设计_第2页
第2页 / 共25页
课程设计(论基于AT89C52单片机的电机设计_第3页
第3页 / 共25页
课程设计(论基于AT89C52单片机的电机设计_第4页
第4页 / 共25页
课程设计(论基于AT89C52单片机的电机设计_第5页
第5页 / 共25页
点击查看更多>>
资源描述

《课程设计(论基于AT89C52单片机的电机设计》由会员分享,可在线阅读,更多相关《课程设计(论基于AT89C52单片机的电机设计(25页珍藏版)》请在金锄头文库上搜索。

1、2016 届结课论文基于 AT89C52 单片机的电机设计学生姓名 学 号 所属学院 信息工程学院 专 业 计算机科学与技术 班 级 计算机 16-2 班 指导教师 教师职称 副教授 第 1 页 共 25 页目录目录 1摘要 2一、控制器模块设计方案 3二、PWM 控制的基本原理 32.2 直流电机的 PWM 控制技术 4三、步进电机的概述 63.1 步进电机的简介 63.2 步进电动机动态指标及术语 63.2.1 电机的共振点 7四、单片机原理 74.1 单片机原理概述 74.2 单片机的应用系统 7五、AT89C52 芯片 75.1 主要性能 75.2 功能特性描述 85.3 引脚 P1

2、第二功能 95.4 振荡器特性 95.5 芯片擦除 9六、对于 L298芯片的说明 10七对 74LS245芯片的简介 11八、系统设计的仿真及分析 128.1 对 Proteus 软件简介 128.2 对于电机控制模块的说明 128.3 晶振模块的简介 16九、心得体会: 17十、参考文献 17附录 19附件一:元件清单 19附件二:源程序 19第 2 页 共 25 页摘要本文是通过单片机对步进电机 PWM 调速器设计,主要实现对电机的控制。本设计主要是实现 PWM 调速器的正转、反转、加速、减速、停止等操作,并实现电路的仿真。为实现系统的微机控制,在设计中,采用了 AT89C52 单片机作

3、为整个控制系统的控制电路的核心部分,配以各种显示、驱动模块,实现对电动机转速参数的显示和测量;由命令输入模块、及驱动模块组成。采用带中断的独立式键盘作为命令的输入,单片机在程序控制下,不断给电路发送 PWM 波形,驱动电路完成电机正反转控制.在设计中,采用 PWM 调速方式,通过改变 PWM 的占空比从而改变电动机的电枢电压,进而实现对电动机的调速。设计的整个控制系统,在硬件结构上采用了大量的集成电路模块,大大简化了硬件电路,提高了系统的稳定性和可靠性,使整个系统的性能得到提高。在电机转速控制占有至关重要的作用,其控制算法和手段有很多,模拟 PID 控制是最早发展起来的控制策略之一,长期以来形

4、成了典型的结构,并且参数整定方便,能够满足一般控制的要求,但由于在模拟 PID 控制系统中,参数一旦整定好后,在整个控制过程中都是固定不变的,而在实际中,由于现场的系统参数、温度等条件发生变化,使系统很难达到最佳的控制效果,因此采用模拟 PID 控制器难以获得满意的控制效果。随着计算机技术与智能控制理论的发展,数字 PID 技术渐渐发展起来,它不仅能够实现模拟 PID 所完成的控制任务,而且具备控制算法灵活、可靠性高等优点,应用面越来越广。本设计以上面提到的数字 PID 为基本控制算法,以 AT89C52 单片机为控制核心,产生占空比受数字 PID 算法控制的 PWM 脉冲实现对直流电机转速的

5、控制。实现转速闭环控制,达到转速调节的目的。在系统中采用 7ESG-MPX4-CC 显示器作为显示部件,通过按键对电机正反转控制,启动后可以通过摘要显示部件了解电机当前的转速。该系统控制精度高,具有很强的抗干扰能力。关键字:AT89C52 单片机、PID 算法、PWM、7ESG-MPX4-CC 显示器、步进电机第 3 页 共 25 页一、控制器模块设计方案根据设计任务,控制器主要用于产生占空比受数字 PID 算法控制的 PWM 脉冲,并对电机当前速度进行采集处理,根据算法得出当前所需输出的占空比脉冲。对于控制器的选择有以下三种方案。方案一:采用 FPGA(现场可编辑门列阵)作为系统的控制器,FPGA 可以实现各种复杂的逻辑功能1,模块大

展开阅读全文
相关资源
正为您匹配相似的精品文档
相关搜索

最新文档


当前位置:首页 > 学术论文 > 毕业论文

电脑版 |金锄头文库版权所有
经营许可证:蜀ICP备13022795号 | 川公网安备 51140202000112号