EDA课程设计--智能竞赛抢答器

上传人:aa****6 文档编号:29212660 上传时间:2018-01-22 格式:DOCX 页数:23 大小:754.73KB
返回 下载 相关 举报
EDA课程设计--智能竞赛抢答器_第1页
第1页 / 共23页
EDA课程设计--智能竞赛抢答器_第2页
第2页 / 共23页
EDA课程设计--智能竞赛抢答器_第3页
第3页 / 共23页
EDA课程设计--智能竞赛抢答器_第4页
第4页 / 共23页
EDA课程设计--智能竞赛抢答器_第5页
第5页 / 共23页
点击查看更多>>
资源描述

《EDA课程设计--智能竞赛抢答器》由会员分享,可在线阅读,更多相关《EDA课程设计--智能竞赛抢答器(23页珍藏版)》请在金锄头文库上搜索。

1、唐 山 学 院EDA 技术 课 程 设 计题 目系 (部)班 级姓 名学 号指导教师15 年 7 月 5 日至 7 月 10 日 共 1 周2015年 7 月 9 日EDA 技术 课程设计任务书一、设计题目、内容及要求设计题目:智力竞赛抢答器设计设计内容:(1)设计一个四组(人)参加的智力竞赛抢答计时器,它具有四路抢答输入,主持人按下复位键后,系统复位进入抢答状态,计时显示初始值; (2)某组首先按下抢答键,该路抢答信号,竞赛抢答器能够设别最先抢答的信号,锁定该信号,同时扬声器响起,参赛小组的序号在数码管上显示;(3)主持人对抢答结果进行确认,给出倒计时计数允许信号,开始回答问题,计时显示器从

2、初始值开始以秒为单位倒计时,计数至 0 时,停止计数,扬声器发出超时报警信号,以中止继续回答问题;(4)参赛者在规定时间内回答完问题,主持人给出倒计时计数禁止信号,以免扬声器鸣叫,按下复位键,又可开始新一轮的抢答;设计要求:(1)根据任务要求确定电路各功能模块; (2)写出设计程序;(3)给出时序仿真结果; (4)实现硬件调试;二、设计原始资料Quartus软件;EDA 实验箱;计算机一台;三、要求的设计成果(课程设计说明书、设计实物、图纸等)课程设计说明书 1 份,不少于 2000 字,应包含设计原理分析、相关软件介绍、仿真波形分析,实验箱下载验证等。四、进程安排周 1-周 4: 查阅资料,

3、上机编写并调试程序周 5: 课程设计答辩并交设计说明书五、主要参考资料1.Voknei A.Pedroni.VHDL 数字电路设计教程.电子工业出版社,2008.52.潘松,黄继业.EDA 技术实用教程 (第二版).科学出版社, 2005.23.焦素敏.EDA 应用技术 .清华大学出版社,2002.4指导教师(签名): 教研室主任(签名):目录1 引言 .12 VHDL 介绍 .23 应用软件 Quartus II .34 智力竞赛抢答器设计 .44.1 设计的目的 .44.2 设计的基本内容 .45 总体设计思路及分析 .55.1 功能分析 .55.2 整体设计思想 .55.3 分频模块设计

4、 .65.4 复位模块设计 .75.5 抢答模块设计 .85.6 倒计时模块设计 .95.7 硬件下载驱动 .126 心得体会 .13参考文献 .14附录一 .15附录二 .21课程设计说明书11 引言EDA 技术又称电子设计自动化,它是为解决自动控制系统设计而提出的,从 70 年代经历了计算机辅助设计(CAD) ,计算机辅助工程(CAE) ,电子系统设计自动化(ESDA)3 个阶段。在当今时代,现代电子产品几乎渗透了社会的各个领域,EDA 技术是电子设计的发展趋势,EDA 工具从数字系统设计的单一领域开始,应用范围已涉及模拟、微波等多个领域,可实现各个领域电子系统设计的测试、设计仿真和布局布

5、线等。设计者只要完成对电子系统的功能描述,就可利用计算机和 EDA 工具,进行设计处理,最终得到设计结果。随着大规模集成电路技术和计算机技术的不断发展,即便是在普通的电子产品的开发方面,EDA 技术常常使一些原来的技术瓶颈得以轻松突破,从而使产品的开发周期大为缩短、性价比大幅度提高。不言而喻,EDA 技术将迅速成为电子设计领域中的极其重要的组成部分。从目前的 EDA 技术来看,其发展趋势是政府重视、使用普及、应用广泛、工具多样、软件功能强大的一种技术。在信息通信领域,要优先发展高速宽带信息网、深亚微米集成电路、新型元器件、计算机及软件技术、第三代移动通信技术、信息管理、信息安全技术,积极开拓以

6、数字技术、网络技术为基础的新一代信息产品,发展新兴产业,培育新的经济增长点。我们当代大学生必须能够熟练的掌握这门技术,并把它运用到实际当中,才能把我们所学的知识转化为对社会有贡献有帮助的能力,同时提升自身的实际发现问题和处理问题的能力。课程设计说明书22 VHDL 介绍VHDL 是一种硬件描述语言,它可以对电子电路和系统的行为进行描述,基于这种描述,结合相关的软件工具,可以得到所期望的实际电路与系统。VHDL(VERY HIGH SPEED INTEGRATED CIRCUIT HARDWARE DESCRIPTION LANGUAGE)语言最早是有美国国防部提出的,它支持行为领域和结构领域的

7、硬件描述,并且可以从最抽象的系统级一直到最精确的逻辑级,在描述数字系统时,可以使用前后一致的语义和语法跨越多个层次,并且使用跨越多个级别的混合描述模拟该系统。因此,它可以由高层次行为描述子系统及低层次详细实现子系统所组成的系统模拟。它有两个版本 IEEEStd1076-1987LRM87和 IEEEStd1076-1993LRM93,他们并不完全兼容,但做一些修改就可以兼容了。VHDL 被广泛使用的基本原因在于它是一种标准语言,是与工具和工艺无关的语言,从而可以方便的进行移植和重用。使用 VHDL 语言描述的电路,可以进行综合与仿真。然而,值得注意的事,尽管所有 VHDL 代码都可以仿真的,但

8、并不是所有代码都是可综合的。VHDL 语言与常规的顺序执行的计算机程序不同,VHDL 从根本上讲是并发执行的。因此,我们通常称之为代码,而不是程序。在VHDL 中,只有在进程、函数和过程内部的语句才是顺序执行的。VHDL 语言的两个最直接的应用领域是可编程逻辑器件和专用集成电路。课程设计说明书33 应用软件 Quartus II我们设计运用 Quartus II,是 Altera 公司的综合性 PLD/FPGA 开发软件,支持原理图、VHDL、VerilogHDL 以及 AHDL 等多种设计输入形式,内嵌自有的综合器以及仿真器,可以完成从设计输入到硬件配置的完整 PLD 设计流程。Quartu

9、s II 提供了完全集成且与电路结构无关的开发包环境,具有数字逻辑设计的全部特性,包括:可利用原理图、结构框图、VerilogHDL、AHDL 和VHDL 完成电路描述,并将其保存为设计实体文件;芯片(电路)平面布局连线编辑;LogicLock 增量设计方法,用户可建立并优化系统,然后添加对原始系统的性能影响较小或无影响的后续模块;功能强大的逻辑综合工具;完备的电路功能仿真与时序逻辑仿真工具;定时/时序分析与关键路径延时分析;可使用SignalTap II 逻辑分析工具进行嵌入式的逻辑分析;支持软件源文件的添加和创建,并将它们链接起来生成编程文件;使用组合编译方式可一次完成整体设计流程;自动定位编译错误;高效的期间编程与验证工具;可读入标准的 EDIF网表文件、VHDL 网表文件和 Verilog 网表文件;能生成第三方 EDA 软件使用的VHDL 网表文件和 Verilog 网表文件。Altera Quartus II 作为一种可编程逻辑的设计环境, 由于其强大的设计能力和直观易用的接口,越来越受到数字系统设计者的欢迎。课程设计说

展开阅读全文
相关资源
相关搜索

当前位置:首页 > 办公文档 > 其它办公文档

电脑版 |金锄头文库版权所有
经营许可证:蜀ICP备13022795号 | 川公网安备 51140202000112号