伪随机序列与误码检测

上传人:自*** 文档编号:26053876 上传时间:2017-12-22 格式:PPT 页数:105 大小:948.04KB
返回 下载 相关 举报
伪随机序列与误码检测_第1页
第1页 / 共105页
伪随机序列与误码检测_第2页
第2页 / 共105页
伪随机序列与误码检测_第3页
第3页 / 共105页
伪随机序列与误码检测_第4页
第4页 / 共105页
伪随机序列与误码检测_第5页
第5页 / 共105页
点击查看更多>>
资源描述

《伪随机序列与误码检测》由会员分享,可在线阅读,更多相关《伪随机序列与误码检测(105页珍藏版)》请在金锄头文库上搜索。

1、第9章伪随机序列与误码检测原理、建模与设计,内容提要本章介绍伪随机序列的概念、m序列的产生原理及其性质,还介绍了m序列产生器的建模与设计方法。本章的第二部分内容是就通信中的误码检测技术介绍了误码性能指标、误码测试信号、误码测试方式以及误码检测原理及误码检测器等。本章的第三部分内容是以简单的逐位比较型误码检测器为例,重点介绍了逐位比较误码检测原理、状态搜索与同步保护等模块的VHDL建模与程序设计。,知识要点1.伪随机序列的概念、m序列的产生原理及其性质。2.m序列产生器的VHDL建模与设计方法;3.误码性能指标、误码测试信号、误码测试方式;4.误码检测原理、误码检测器的种类及工作原理;5.简单误

2、码检测器的建模与VHDL程序设计,教学建议1.掌握伪随机序列的概念与应用、m序列的产生原理与性质。2.分析和理解m序列产生器的建模与设计方法。 3.熟悉通信中误码性能指标,了解误码测试信号和误码测试方式;4.掌握误码检测的基本原理以及简单误码检测器的建模与设计方法,强调位同步与状态同步技术在误码检测以及误码检测器设计中的重要性。5.建议学时数为6学时。,9.1引言,伪随机噪声具有类似于随机噪声的一些统计特性,同时又便于重复产生和处理,因而获得广泛的应用。目前最广泛应用的伪随机噪声都是由数字电路产生的周期序列得到的。这种周期性序列称为伪随机序列。由于在信道中噪声的加入,使得在数字通信中在接收端不

3、可避免地会出现误码,误码率是检验通信设备传输质量的重要指标,误码的检测在通信中必不可少,由此,产生了各种各样的误码检测器。,误码测试的方法可分为两大类:中断通信业务的误码测试和不中断通信业务的误码测试。第一种主要用于产品性能鉴定、系统工程交验和通信电路的定期维护和检修等;第二种主要用于系统运行的质量监测、可靠性统计等。在对通信系统的性能进行误码检测的设备中,码组发生器作为代替数字信息输入的信号源是一种不可缺少的装置。常用的码组发生器有两类:一类是伪随机码发生器。它产生的序列具有接近纯随机数字序列的特性;另一类是规则码发生器。它可通过选择开关或按键来确定规则码的构成。规则码发生器的序列周期一般较

4、短,主要用做单元部件和整机性能的测试序列。本章将在介绍伪随机序列产生的基础上,重点讨论误码检测原理、建模与设计。,9.2伪随机序列及其产生原理与VHDL设计,伪随机序列或称伪随机码,它是模仿随机序列的随机特性而产生的一种码字,也称为伪噪声序列或伪噪声码。在数字通信中,伪随机序列有许多种,限于篇幅, 这里以被广泛使用的m序列为重点进行介绍。在通信工程应用中,常采用二进制伪随机序列,因此在序列中只有“0”和“1”两种状态。二进制伪随机序列一般是通过移位寄存器加反馈电路共同来产生的。这种反馈移位寄存器可分为线性反馈和非线性的反馈移位寄存器两种。其中由线性反馈移位寄存器产生的周期最长的二进制数字序列叫

5、做最大长度线性反馈移位寄存器序列,也称m序列。,对于伪随机序列有如下几点要求:1. 应具有良好的伪随机性,即应具有和随机序列类似的随机性。2. 应具有良好的自相关、互相关和部分相关特性,即要求自相关峰值尖锐,而互相关和部分相关值接近于零。这是为了接收端的准确检测,以减小差错。3. 要求随机序列的数目足够多,以保证在码分多址的通信系统中,有足够多的地址提供给不同的用户。4.要求设备简单,易实现,成本低。,9.2.1 伪随机序列发生器,m序列是最被广泛采用伪随机序列之一,除此之外,还用到其它伪随机序列,如Gold序列、M序列等。本章重点介绍m序列。 1. 最大长度线性反馈移位寄存器序列(m序列)的

6、产生 m序列产生的一般结构模型如图9-1所示。其中1,2,3,n是移位寄存器的编号, 是各移位寄存器的状态, 对应各移存器的反馈系数,,表示该级移存器参与反馈, 表示该级移存器不参与反馈。其中 和 不能等于0,这是因为 意味着移位寄存器无反馈,而 则意味着反馈移存器蜕化为级或更少级的反馈移存器。,图9-1 反馈移位寄存器的结构模型,反馈函数为 (模2加) (9-1) 上述的反馈函数是一个线性递归函数。当级数(n)和反馈系数一旦确定,则反馈移位寄存器的输出序列就确定了。反馈移存器的级数n不同,则m序列的反馈系数也不同,表9-1(来源于参考文献9)列出了部分的m序列发生器的反馈系数,供读者使用时参

7、考。表中给出的是八进制数值,经转换成二进制数值后,可求出相应的反馈系数。m序列的一个重要的性质,是:任一m序列的循环移位仍是一个m序列,序列长度为: (9-2),2.m序列的性质,(1)均衡性 m序列在在一个周期内“1”和“0”的个数基本相等。具体来说,m序列的一个周期中的“0”的个数比“1”的个数少一个。(2)游程分布 我们把伪随机序列中取值(“0”或“1”)相同的一段码位称为一个游程。在一个游程中包含的位数称为游程长度。把取值为“0”的游程称为“0”,游程,取值为“1”的游程称为“1”游程。在m序列中的一个周期内,游程的总个数等于 ,而且“0”游程的数目与“1”游程的数目相等,即各占一半。

8、一般来说,在m序列中,长度为1的游程占游程总数的一半;长度为2的游程占游程总数的1/4;依次类推,长度为k的游程数目占游程总数的 ,其中, 而且“0”游程,长为“1”。,例9-1对于一个 , 的m序列10001111010110010其中n表示移位寄存器的个数,m表示伪随机序列的周期。该伪随机序列的总游程数为个。其中,长为4的游程一个,即“1111”;长为3的游程一个,即“000”;长为2的游程2个,即“11”和“00”;长为1的游程4个,即2个“1”游程和2个“0”游程。,(3)移位相加特性 (9-3)一个m序列Mp与其经任意次迟延移位产生的另一不同序列Mr,模2相加,得到的仍是Mp的某次迟

9、延移位序列Ms,即(4)相关函数 设 为一个m序列, 为m序列的第i位的取值,(令“0”和“1”分别对应“+1”和“-1”),由自相关函数的定义有 (9-4),式中T为m序列的周期。 令当 时的 记为 ,其中 为码元宽度, 。这样,式(9-4)可表示为,(9-5)式中,n为m序列的长度, 的下标按模n运算,即 。把 进行归一化,可得其归一化相关函数为: (9-7)根据m序列的延时相加特性可知,,仍然是一个m序列,因此上式分子就是“0”的个数与“1”的个数之差;又由m序列的均衡性可知,m序列的一个周期中的“0”的个数比“1”的个数少一个,实际上,上式的分子等于-1。因此,式(9-6)可写为: (

10、9-7)在 的范围内,自相关函数为: , (9-8),于是 (9-9)将自相关函数画成曲线如图9-2所示。,图9-2 m序列的自相关函数,上面讨论的m序列由于具有很好的伪噪声性质,并且产生方法比较简单,所以受到广泛的应用。不过,它也有一个很大缺点,就是其周期限制于(2n一1),nl、2、3、。当n较大时,相邻周期相距较远,有时不能从m序列得到所需周期的伪随机序列。另外一些伪随机序列的周期所必须满足的条件与m序列的不同,因此可以得到一些其他周期的序列;即使周期与m序列相同,其结构也不一定相同。这些不同周期和结构的序列可以互相补充,提供我们选用。,9.2.2m序列发生器的建模与设计举例,从表9-1

11、中选m序列的级数为 ,序列长度为 ,若选反馈系数的八进制数值为235,转换成二进制数值为:10011101,即:反馈移位寄存器的结构模型如图9-3所示。,图9-3 n=7的反馈移位寄存器的结构模型,VHDL建模思想: 根据图9-3的结构模型,设置敏感信号(时钟信号CLK和操作控制信号LOAD),在时钟的上升沿控制下,当LOAD=“1”时,给移位寄存器预置初始信号“100000”;当LOAD=“0”时,将按图9-3的模型规律进行操作,具体赋值顺序参看下列程序。,LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;ENTITY PS7 IS 实体名为 “PS7”POR

12、T(CLK:IN STD_LOGIC; LOAD:IN STD_LOGIC; Q :OUT STD_LOGIC); 定义实体接口END PS7;ARCHITECTURE BEHAV OF PS7 ISSIGNAL C0,C1,C2,C3,C4,C5,C6,C7:STD_LOGIC;BEGIN,PROCESS(CLK,LOAD) 设置敏感量BEGINIF CLKEVENT AND CLK=1 THENIF (LOAD=1) THENC7=0;C6=0;C5=0;C4=0;C3=0;C2=0;C1=0;C0=1;Q =C7;,ELSEC1=C0;C2=C1; C3=C2; C4=C3;C5=C4;

13、C6=C5;C7=C6;C0=C7 XOR C4 XOR C3 XOR C2; 设置反馈方式Q=C7;END IF;END IF;END PROCESS;END BEHAV;,n=7的伪随机序列产生器的时序仿真波形如图9-4所示。 图9-4 n=7的伪随机序列产生器的时序仿真波形图中的“LOAD”在“1”电平期间对伪随机序列发生器置初始信号,在“0”电平期间伪随机序列发生器进行移位操作;“CLK”表示移位寄存器的位同步信号;“Q”表示串行伪随机序列信号输出。,9.3通信中的误码检测,9.3.1概述 无论是设备故障、传播衰落、码间干扰、邻近波道干扰等因素都可能造成系统性能恶化甚至造成通信中断,其

14、结果都可通过误码的形式表现出来。对数字通信接收系统,判决电路是不可缺少的,造成判决错误的基本原因可归纳为以下几点:1.判决电平的偏移造成误判;2.抽样时刻偏移造成误判;3.叠加噪声造成误判。 在数字微波中继通信设备中通常设置误码监测电路。另外,为了对生产设备进行调试、验收或维护,通常也要使用误码检测装置来进行误码检测。,9.3.2误码性能指标,1.误码劣化分 是指在一分钟的统计时间内,误码率超过1x10-6的分钟数以及相应的时间百分比。它是一个低误码率指标。2.严重误码秒 指在一秒的统计时间内,误码率超过1x10-3的秒数,以及相应的时间百分数。它是高误码率指标。3.误码秒 指在一秒内出现一个

15、或多个误码的秒数以及相应的时间百分数。4.平均误码率 指在一个较长的时间内进行统计所得到的平均误码率。,9.3.3误码性能测试,1.误码测试信号误码测试的方法可分为两大类:中断通信业务的误码测试和不中断通信业务的误码测试。第一种主要用于产品性能鉴定、系统工程交验和通信电路的定期维护和检修等;第二种主要用于系统运行的质量监测、可靠性统计等。在对通信系统的性能进行误码检测的设备中,码组发生器作为代替数字信息输入的信号源是一种不可缺少的装置。常用的码组发生器有两类:一类是伪随机码发生器。它产生的序列具有接近纯随机数字序列的特性;另一类是规则码发生器。它可通过选择开关或按键来确定规则码的构成。规则码发生器的序列周期一般较短,主要用做单元部件和整机性能的测试序列。,

展开阅读全文
相关资源
正为您匹配相似的精品文档
相关搜索

最新文档


当前位置:首页 > 高等教育 > 大学课件

电脑版 |金锄头文库版权所有
经营许可证:蜀ICP备13022795号 | 川公网安备 51140202000112号