EDA课程设计(论文)-电梯控制系统设计

上传人:飞*** 文档编号:2572386 上传时间:2017-07-25 格式:DOC 页数:19 大小:428.01KB
返回 下载 相关 举报
EDA课程设计(论文)-电梯控制系统设计_第1页
第1页 / 共19页
EDA课程设计(论文)-电梯控制系统设计_第2页
第2页 / 共19页
EDA课程设计(论文)-电梯控制系统设计_第3页
第3页 / 共19页
EDA课程设计(论文)-电梯控制系统设计_第4页
第4页 / 共19页
EDA课程设计(论文)-电梯控制系统设计_第5页
第5页 / 共19页
点击查看更多>>
资源描述

《EDA课程设计(论文)-电梯控制系统设计》由会员分享,可在线阅读,更多相关《EDA课程设计(论文)-电梯控制系统设计(19页珍藏版)》请在金锄头文库上搜索。

1、燕山大学课 程 设 计 说 明 书题目: 电梯控制 学院(系): 电气工程学院 年级专业: 09 级应电 3 班 学 号: 学生姓名: 指导教师: 教师职称: 实验师 燕 山 大 学 课 程 设 计 说 明 书1 / 17燕山大学课程设计(论文)任务书院(系):电气工程学院 基层教学单位:电子实验中心 学 号 学生姓名 专业(班级)设计题目 电梯控制设计技术参数楼层显示 1-7电梯自动从 1 层上升到 7 层,再从 7 层下降到 1 层在点阵电路上显示流动的上下箭头具有暂停功能设计要求8*8 点阵电路显示上下箭头用 1 个数码管显示楼层1 个数字开关实现暂停功能工作量学会使用 Max+Plus

2、II 软件、Verilog HDL 语言和实验箱;独立完成电路设计,编程下载、连接电路和调试;参加答辩并书写任务书。工作计划1. 了解 EDA 的基本知识,学习使用软件 Max+PlusII,下发任务书,开始电路设计;2. 学习 Verilog HDL 语言,用 Verilog HDL 进行程序设计3. 学习使用实验箱,继续电路设计;4. 完成电路设计;5. 编程下载、连接电路、调试和验收;6. 答辩并书写任务书。参考资料数字电子技术基础.阎石主编.高等教育出版社.EDA 课程设计 A 指导书.郑兆兆等编.Verilog HDL 程序设计与应用王伟编著指导教师签字 基层教学单位主任签字说明:此

3、表一式四份,学生、指导教师、基层教学单位、系部各一份。2012 年 01 月 05 日 燕 山 大 学 课 程 设 计 说 明 书2 / 17目 录第一章 设计说明3一、设计思路 3二、模块介绍 5三、真值表 6第二章 Verilog HDL 设计程序序 8第三章 波形仿真图 15第四章 管脚锁定及硬件连线 16第五章 总结 17参考文献 18燕 山 大 学 课 程 设 计 说 明 书3 / 17第一章 设计说明本课题设计实现了对电梯显示电路的控制。当电梯上升时,8*8 点阵上出现流动的上升箭头,显码管随电梯上升依次显示 1-7;当电梯下降时,8*8 点阵上出现流动的下降的箭头,显码管随电梯下

4、降依次显示 7-1。电梯具有暂停功能。在实现课题要求的基础上,根据电梯的实际应用环境,增加了一下几个功能:一、电梯在中途停止时,箭头不在流动,而是根据实际情况显示闪动的向上或向下的箭头。此时电梯的实际楼层位置与显码管显示应该一致,数码管不再变化。二、电梯暂停时,蜂鸣器随箭头闪动而发出声音,提示电梯处于暂停状态。暂停结束,蜂鸣器不再发声。三、电梯显示层数用动态数码管显示,电梯处于第几层,第几个动态数码管显示楼层数。一、设计思路该设计设置了一个开关 switch,实现暂停功能。用中间变量 flag 作为电梯上升下降的使能信号,低电平时电梯上升,高电平时电梯下降。电梯到第一层是 flag 置零,第七

5、层时 flag 置一。用 ss 记楼层数,flag 为零时,ss 递增,flag 为一时,ss 递减。并用 ss 作为数码管的位选信号。把 ss 编译为七段码的七位段码,用 rl 表示,并输出。用 t 作为蜂鸣器的使能信号,高电平有效。用 row 和 line 控制点阵的行列扫描。由于箭头流动或闪动会有多个状态,所以程序中用中间变量 p 控制扫描哪个箭头。箭头的扫描过程如下:依次快速扫描下图 1、2、3、4 时由于发光二极管的余晖和人眼的视觉停留就会使人看到如图五的效果。其他图形同理可以得到(在此不一一列举) 。当快速扫描时图5、6、7 转换时,给人的感觉就是箭头在闪动。向下的闪动箭头同理可以

6、得到。燕 山 大 学 课 程 设 计 说 明 书4 / 17图 1 图 2图 2 图 4图 5 图 6燕 山 大 学 课 程 设 计 说 明 书5 / 17图 7 图 8二、模块介绍该设计使用一个模块,内含 4 个 always 模块:模块 1:电梯升降控制模块在此模块中,当开关 switch 开通时,ss 开始计数, (clk0 控制其计数周期,本程序中 clk0 为 0.5hz,即 2 秒钟电梯上升一层)flag 为 0 时电梯上升,ss 开始递增,ss为时 Flag 为 1,电梯下降,ss 递减,ss 为 0 时,flag 置 0.依次循环。同时 ss 即为楼层数。当 switch 关断

7、时,ss 保持原值,不再计数,电梯暂停。模块 2:箭头各状态扫描时间及蜂鸣器控制模块在此模块中,首先用 clk1(2hz)控制箭头各个状态的转换时间,即每个箭头保持时间。当开关 switch 为 1 时 ,中间变量 p 开始从 0 到 2 循环计数,当电梯上升即 flag为 0 时,在模块 3 中依次扫描上升的三个箭头,如图 5、6、7 依次扫描。出现向上闪动燕 山 大 学 课 程 设 计 说 明 书6 / 17箭头。当 switch 为 0 时,p 从 3 到 4 循环计数,电梯上升时,依次扫描图 5 和图 8。点阵出现向上闪动箭头。电梯向下时同理。再次就是控制蜂鸣器,当 switch 为

8、0,且 p为 3 时。蜂鸣器使能端 t 置高电平,其他情况 t 为低电平。蜂鸣器发声周期与箭头闪动周期相同。模块 3:点阵扫描控制模块在此模块中,快速(clk2 为 1024hz)扫描点阵的行和列,例如快速扫描图1、2、3、4 时。会出现图 5 的上升箭头。当 flag 为 0 时扫描向上的箭头,当 flag 为 1时,扫描向下的箭头。模块 4:数码管显示控制模块在此模块中,ss 控制位选信号,rl 控制七段码段选信号,每一个 ss 对应一个七段码。当电梯升降式,动态数码管依次显示楼层数。三、真值表1、 点阵箭头显示真值表。表一行 列 Line0 Line1 Line2 Line3 Line4

9、 Line5 Line6 Line7Row0 0 0 0 0 1 1 0 0 0Row1 0 0 0 0 1 1 0 0 0Row2 0 0 0 0 1 1 0 0 0Row3 0 0 0 0 1 1 0 0 0Row4 0 0 0 0 1 1 0 0 0Row5 0 0 0 0 1 1 0 0 0Row6 0 0 0 0 1 1 0 0 0Row7 0 0 0 0 1 1 0 0 0表二行 列 Line0 Line1 Line2 Line3 Line4 Line5 Line6 Line7Row0 1 0 0 1 0 0 1 0 0Row1 0 0 0 1 0 0 1 0 0Row2 0 0

10、0 1 0 0 1 0 0Row3 0 0 0 1 0 0 1 0 0Row4 0 0 0 1 0 0 1 0 0燕 山 大 学 课 程 设 计 说 明 书7 / 17Row5 0 0 0 1 0 0 1 0 0Row6 0 0 0 1 0 0 1 0 0Row7 0 0 0 1 0 0 1 0 0表三行 列 Line0 Line1 Line2 Line3 Line4 Line5 Line6 Line7Row0 1 0 1 0 0 0 0 1 0Row1 1 0 1 0 0 0 0 1 0Row2 0 0 1 0 0 0 0 1 0Row3 0 0 1 0 0 0 0 1 0Row4 0 0

11、1 0 0 0 0 1 0Row5 1 0 1 0 0 0 0 1 0Row6 1 0 1 0 0 0 0 1 0Row7 1 0 1 0 0 0 0 1 0表四行 列 Line0 Line1 Line2 Line3 Line4 Line5 Line6 Line7Row0 0 1 0 0 0 0 0 0 1Row1 0 1 0 0 0 0 0 0 1Row2 0 1 0 0 0 0 0 0 1Row3 1 1 0 0 0 0 0 0 1Row4 1 1 0 0 0 0 0 0 1Row5 0 1 0 0 0 0 0 0 1Row6 0 1 0 0 0 0 0 0 1Row7 0 1 0 0 0

12、 0 0 0 1以上四个表为上升的完整箭头的真值表,由于状态较多,在此不一一列出真值表。2、数码管显示真值表。升降 位选 段选 楼层Flag Ss0 Ss1 Ss2 Rl0 Rl1 Rl2 Rl3 Rl4 Rl5 Rl60 0 0 1 0 0 0 0 1 1 0 10 0 1 0 1 0 1 1 0 1 1 20 0 1 1 1 0 0 1 1 1 1 30 1 0 0 1 1 0 0 1 1 0 40 1 0 1 1 1 0 1 1 0 1 50 1 1 0 1 1 1 1 1 0 1 61 1 1 1 0 0 0 0 1 1 1 7燕 山 大 学 课 程 设 计 说 明 书8 / 171

13、1 1 0 1 1 1 1 1 0 1 61 1 0 1 1 1 0 1 1 0 1 51 1 0 0 1 1 0 0 1 1 0 41 0 1 1 1 0 0 1 1 1 1 31 0 1 0 1 0 1 1 0 1 1 20 0 0 1 0 0 0 0 1 1 0 1第二章 Verilog HDL 设计源程序电梯控制的源程序如下:module a(clk0,clk1,clk2,line,row,rl,ss,switch,t);/clk0 控制电梯上升时间;input switch,clk0,clk1,clk2; /clk1 控制各个画面转换时间;output line,row,rl,ss,t; /clk2 控制点阵扫描时间; reg7:0 line; /line,row 控制点阵的行和列;reg7:0 row; /ss 为楼层数;reg6:0 rl; /rl 表示七段译码;reg2:0 ss;reg t; /switch 为数字开关integer flag;integer p;reg1:0c;always (posedge clk0) if (switch)begin if(flag=0) /上升beginss=ss+1;if(ss=3b111)flag=1;endelse if(flag=1) /下降begin ss=ss-1;燕 山 大 学 课 程

展开阅读全文
相关资源
相关搜索

当前位置:首页 > 学术论文 > 毕业论文

电脑版 |金锄头文库版权所有
经营许可证:蜀ICP备13022795号 | 川公网安备 51140202000112号