Verilog分频器设计

上传人:豆浆 文档编号:19973525 上传时间:2017-11-20 格式:DOC 页数:20 大小:75.50KB
返回 下载 相关 举报
Verilog分频器设计_第1页
第1页 / 共20页
Verilog分频器设计_第2页
第2页 / 共20页
Verilog分频器设计_第3页
第3页 / 共20页
Verilog分频器设计_第4页
第4页 / 共20页
Verilog分频器设计_第5页
第5页 / 共20页
点击查看更多>>
资源描述

《Verilog分频器设计》由会员分享,可在线阅读,更多相关《Verilog分频器设计(20页珍藏版)》请在金锄头文库上搜索。

1、Verilog 分频器设计module adder(clk,z);output z;reg q;reg z;always(posedge clk)beginif(q%9=0)z 1; (N/2)(N-1) - 0always (posedge i_clk, negedge rst_n)beginif (!rst_n) o_clk 1) - 1; (N1)+1)(N-1) - 0always (posedge i_clk or negedge rst_n)beginif (!rst_n)clk_p 1) / 0 (N1)clk_p 1) - 1; (N1)+1)(N-1) - 0always (

2、negedge i_clk or negedge rst_n)beginif (!rst_n)clk_n 1) / 0 (N1)clk_n = 1;elseclk_n = 0;endendendmodule仿真波用 Verilog 设计一个 5 分频器默认分类 2009-06-12 08:29:25 阅读 127 评论 0 字号:大中小 订阅 .用 Verilog 设计一个 5 分频器。5 分频,奇数分频都可以类似这么做,只需要改 div1 和 div2 的参数。div1 为奇数分频除 2 的余数。采用上升延和下降延分别触发不同波形,最后叠加的方式产生奇数分频。module divfreq(c

3、lk, clk1x, rst, clk1xpose, clk1xnege, coutpose, coutnege);input clk;input rst;output clk1x;output clk1xpose;output clk1xnege;output2:0 coutpose;output2:0 coutnege;reg clk1xpose;reg clk1xnege;reg2:0 coutpose;reg2:0 coutnege;parameter div1 = 1 , div2 = 4; / div1 5 / 2, div2 = 5 - 1assign clk1x = clk1x

4、pose | clk1xnege;always(posedge clk or negedge rst)beginif(!rst)clk1xpose = 0; else if(coutpose = div1)clk1xpose = clk1xpose;else if(coutpose = div2)clk1xpose = clk1xpose;else clk1xpose = clk1xpose;endalways(negedge clk or negedge rst)beginif(!rst)clk1xnege = 0;else if(coutnege = div1)clk1xnege = cl

5、k1xnege;else if(coutnege = div2)clk1xnege = clk1xnege;else clk1xnege = clk1xnege;endalways(posedge clk or negedge rst)beginif(!rst)coutpose = 0;else if(coutpose = div2)coutpose = 0;elsecoutpose = coutpose + 1;endalways(negedge clk or negedge rst)beginif(!rst)coutnege = 0;else if(coutnege = div2)coutnege = 0;elsecoutnege = coutnege + 1;endendmodule

展开阅读全文
相关资源
相关搜索

当前位置:首页 > 行业资料 > 其它行业文档

电脑版 |金锄头文库版权所有
经营许可证:蜀ICP备13022795号 | 川公网安备 51140202000112号