[精选]EDA课程设计报告(交通信号控制器的VHDL的设计)

上传人:庄** 文档编号:175188002 上传时间:2021-03-22 格式:DOCX 页数:7 大小:140.83KB
返回 下载 相关 举报
[精选]EDA课程设计报告(交通信号控制器的VHDL的设计)_第1页
第1页 / 共7页
[精选]EDA课程设计报告(交通信号控制器的VHDL的设计)_第2页
第2页 / 共7页
[精选]EDA课程设计报告(交通信号控制器的VHDL的设计)_第3页
第3页 / 共7页
[精选]EDA课程设计报告(交通信号控制器的VHDL的设计)_第4页
第4页 / 共7页
[精选]EDA课程设计报告(交通信号控制器的VHDL的设计)_第5页
第5页 / 共7页
点击查看更多>>
资源描述

《[精选]EDA课程设计报告(交通信号控制器的VHDL的设计)》由会员分享,可在线阅读,更多相关《[精选]EDA课程设计报告(交通信号控制器的VHDL的设计)(7页珍藏版)》请在金锄头文库上搜索。

1、交通信号控制器的VHDL的设计 一、设计任务模拟十字路口交通信号灯的工作过程,利用实验板上的两组红、黄、绿LED作为交通信号灯,设计一个交通信号灯控制器。能达到的要求:(1) 交通灯从绿变红时,有4秒黄灯亮的间隔时间;(2) 交通灯红变绿是直接进行的,没有间隔时间;(3) 主干道上的绿灯时间为40秒,支干道的绿灯时间为20秒;(4) 在任意时间,显示每个状态到该状态结束所需的时间。 支干道 主干道图1 路口交通管理示意图ABCD主干道交通灯绿(40秒)黄(4秒)红(20秒)红(4秒)支干道交通灯红红绿黄表1 交通信号灯的4种状态 二、设计原理 1、设计目的:学习DEA开发软件和QuartusI

2、I的使用方法,熟悉可编程逻辑器件的使用。通过制作来了解交通灯控制系统,交通灯控制系统主要是实现城市十字交叉路口红绿灯的控制2、设计说明(1)第一模块:clk时钟秒脉冲发生电路在红绿灯交通信号系统中,大多数情况是通过自动控制的方式指挥交通的。因此为了避免意外事件的发生,电路必须给一个稳定的时钟(clock)才能让系统正常运作。模块说明:系统输入信号: Clk: 由外接信号发生器提供256的时钟信号; 系统输出信号: full:产生每秒一个脉冲的信号;(2)第二模块:计数秒数选择电路计数电路最主要的功能就是记数负责显示倒数的计数值,对下一个模块提供状态转换信号。模块说明:系统输入:full: 接收

3、由clk电路的提供的1hz的时钟脉冲信号;系统输出信号: tm: 产生显示电路状态转换信号 tl:倒计数值 秒数个位变化控制信号 th:倒计数值 秒数十位变化控制信号(3)第三模块:红绿灯状态转换电路 本电路负责红绿灯的转换。模块说明:系统输入信号:full: 接收由clk电路的提供的1hz的时钟脉冲信号; tm: 接收计数秒数选择电路状态转换信号;系统输出信号: comb_out: 负责红绿灯的状态显示。 (4)第四模块:时间显示电路本电路负责红绿灯的计数时间的显示。模块说明:系统输入信号:tl:倒计数值 秒数个位变化控制信号; th:倒计数值 秒数十位变化控制信号;系统输出信号: led7

4、s1: 负责红绿灯的显示秒数个位。 led7s2: 负责红绿灯的显示秒数十位。三、设计方案定时时间到检测电路计时器预置数产生电路状态发生器(两位二进制计数器) 时间显示数据输出红黄绿灯输出控制电路(RYG) 红黄绿灯信号输出1秒时钟脉冲信号发生器 图2 交通信号灯控制器的原理框图采用VHDL语言输入的方式实现交通信号灯控制器秒脉冲信号发生器(进程P1和P2)状态寄存器(进程P6)计数器(进程P3、P4和P5)CLK 时间显示数据输出 次态发生器信号灯输出信号(进程P7) 信号灯输出 图3 交通信号灯控制器程序原理框图 该程序由7个进程组成,进程P1和P2将CLK信号分频后产生1秒信号,进程P3

5、、P4、P5构成两个带有预置数功能的十进制计数器,其中P4产生允许十位计数器计数的控制信号。进程P6实现状态转换和产生状态转换的控制信号,进程P7产生次态信号和信号灯输出信号,以及每一个状态的时间值。四、VHDL程序:LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_UNSIGNED.ALL;ENTITY traffic IS PORT (clk:in std_logic; led7s1:out std_logic_vector(6 downto 0); led7s2:out std_logic_vector(6 downt

6、o 0); comb_out:out std_logic_vector(5 downto 0); END;ARCHITECTURE one OF traffic ISTYPE dm IS (s0,s1,s2,s3); SIgnal current_state,next_state:dm; SIGNAL FULL : STD_LOGIC; SIGNAL tl :STD_LOGIC_VECTOR(6 DOWNTO 0); SIGNAL th:STD_LOGIC_VECTOR(1 DOWNTO 0); SIGNAL tm :STD_LOGIC_VECTOR(6 DOWNTO 0); SIGNAL T

7、IME :STD_LOGIC_VECTOR(6 DOWNTO 0);BEGIN P_REG: PROCESS(CLK) VARIABLE CNT8:STD_LOGIC_VECTOR(7 DOWNTO 0); BEGIN IF CLKEVENT AND CLK=1 THEN IF CNT8 = 11111111 THEN CNT8:=01111111; FULL=1; ELSE CNT8 := CNT8+1; FULL = 0; END IF; END IF; END PROCESS P_REG;PROCESS(full)BEGINIF fullEVENT AND full=1 THEN IF

8、TIME1000011 THEN TIME=TIME+1; ELSe TIME =0000000; END IF;END IF;END PROCESS; REG:process( full,current_state) BEGIN IF full=1 AND fullEVENT THEN current_statecomb_out=001100;tm=39-time; if time=39 then next_state=s1; else next_statecomb_out=010100;tm=43-time; if time=43 then next_state=s2; else next

9、_statecomb_out=100010;tm=63-time; if time=63 then next_state=s3; else next_statecomb_out=100001;tm=67-time; if time=67 then next_state=s0; else next_state=30 THEN th=11;tl=20 THEN th=10;tl=10 THEN th=01;tl=tm-10;ELSE th=00;tlled7s1led7s1led7s1led7s1null; end case;case tl is when 0000000=led7s2led7s2led7s2led7s2led7s2led7s2led7s2led7s2led7s2led7s2null; end case; end process;end;五、仿真结果(如下图)六 引脚设置选择的引脚锁定方法如下图所示。将未使用的管脚设置为三态输入调试过程中,由于在实验室时间仓促,未能达到理想效果,程序有待改进。七、实验心得体会 通过这次课程设计,我进一

展开阅读全文
相关资源
相关搜索

当前位置:首页 > 商业/管理/HR > 其它文档

电脑版 |金锄头文库版权所有
经营许可证:蜀ICP备13022795号 | 川公网安备 51140202000112号