8X8LED点阵数字显示驱动电路设计30页

上传人:文库****9 文档编号:173162580 上传时间:2021-03-11 格式:DOC 页数:31 大小:6.56MB
返回 下载 相关 举报
8X8LED点阵数字显示驱动电路设计30页_第1页
第1页 / 共31页
8X8LED点阵数字显示驱动电路设计30页_第2页
第2页 / 共31页
8X8LED点阵数字显示驱动电路设计30页_第3页
第3页 / 共31页
8X8LED点阵数字显示驱动电路设计30页_第4页
第4页 / 共31页
8X8LED点阵数字显示驱动电路设计30页_第5页
第5页 / 共31页
点击查看更多>>
资源描述

《8X8LED点阵数字显示驱动电路设计30页》由会员分享,可在线阅读,更多相关《8X8LED点阵数字显示驱动电路设计30页(31页珍藏版)》请在金锄头文库上搜索。

1、成成 绩绩 评评 定定 表表 学生姓名王靖班级学号1103040132 专 业 电子科学与技 术 课程设计题目 8X8LED 点阵数字 显示驱动电路设计 评 语 组长签字: 成绩 日期 20 年 月 日 课程设计任务书课程设计任务书 学 院信息科学与工程学院专 业电子科学与技术 学生姓名王靖班级学号 1103040132 课程设计题目8X8LED 点阵数字显示驱动电路设计 实践教学要求与任务实践教学要求与任务: : 用 8X8LED 点阵实现简单数字与英文字符的显示。 (1)LED 共阳方式; (2)采用扫描的方式显示信息,信息内容可存于文件; (3)显示方式:自动滚动或者手动选择; (4)自

2、行设计设计下载后的验证方案; (5)完成全部流程:设计文档、模块设计、代码输入、功能仿真、约束与综合、布 局布线、下载验证等。 工作计划与进度安排工作计划与进度安排: : 本设计持续两周,其中最后一天为答辩时间。 第 1-2 天:讲解题目,准备参考资料,检查、调试实验软硬件,进入设计环境,开始 设计方案和验证方案的准备; 第 3-5 天:完成设计与验证方案,经指导老师验收后进入模块电路设计(验收设计文 档) ; 第 6-7 天:完成模块电路设计,进行代码输入,并完成代码的仿真(验收代码与仿真 结果) ; 第 8-9 天:约束设计、实现、下载验证(验收验证实现) ; 第 10 天:修正设计、整理

3、设计资料,验收合格后进行答辩。 指导教师: 201 年 月 日 专业负责人: 201 年 月 日 学院教学副院长: 201 年 月 日 摘 要 8X8LED 点阵数字显示驱动电路就是简单地将要显示的信息进行编码后,输出相 应的显示和扫描信号连接到点阵上显示。本文详细介绍了如何用 FPGA 在 8X8LED 点 阵显示方案设计的过程,并在此基础上将整体电路分为 ROM、数据编码、选择显示、 扫描产生等主要功能块。 整体过程采用 Verilog HDL 语言对电路进行功能模块的逻辑设计,然后在 Modelsim 上进行功能仿真,接着在 Quartus II 进行逻辑综合与管脚锁定,最后适配下 载到

4、 Altare 公司的 Cyclone II 芯片的 EP2C5T144C8 上进行验证。在此过程中,顺利的 建立了激励文件和测试平台,功能和时序的仿真,完成了对点阵显示的验证,从而保 证了所设计电路的可行性和准确性。 关键词关键词 Verilog HDL;FPGA;仿真;综合;验证 目 录 引 言 .1 1 总体电路结构设计 .2 1.18X8 点阵显示原理.2 1.2 关键功能电路设计.3 1.3 电路接口.5 1.4 电路功能框图.5 1.5 验证方案.6 2 模块设计 .7 2.1 ROM 模块设计 .7 2.2 数据编码模块设计.8 2.3 扫描产生模块设计 .10 2.4 选择显示

5、模块设计 .10 3 设计仿真与测试 .12 3.1 仿真与测试的功能列表.12 3.2 仿真平台构建和仿真结果 .12 3.2.1 顶层仿真平台与激励.12 3.2.2 电路功能仿真结果.13 3.3 测试环境的搭建与测试结果 .15 3.3.1 测试环境模拟.15 3.3.2 电路测试结果.16 4 电路约束与综合实现 .17 4.1 时序约束 .17 4.2 引脚锁定约束 .17 4.3 电路综合报告 .18 4.4 设计实现与下载 .18 结论 .19 参考文献 .20 引 言 FPGA 是以硬件描述语言(Verilog 或 VHDL)所完成的电路设计,可以经过简单 的综合与布局,快速

6、的烧录至 FPGA 上进行测试,是现代 IC 设计验证的技术主流。 这些可编辑元件可以被用来实现一些基本的逻辑门电路(比如 AND、OR、XOR、NOT)或者更复杂一些的组合功能比如解码器或数学方程式。在大 多数的 FPGA 里面,这些可编辑的元件里也包含记忆元件例如触发器(Flipflop)或 者其他更加完整的记忆块。 系统设计师可以根据需要通过可编辑的连接把 FPGA 内部的逻辑块连接起来,就 好像一个电路试验板被放在了一个芯片里。一个出厂后的成品 FPGA 的逻辑块和连接 可以按照设计者而改变,所以 FPGA 可以完成所需要的逻辑功能。本设计就是通过对 8X8 点阵驱动电路的设计,来更熟

7、悉地对 FPGA 进行学习和掌握。 本设计方案是在 Cyclone II 芯片的 EP2C5T144C8 上实现验证,Cyclone II 芯片是 Altera 公司的 2004 年推出的新款 FPGA 器件,其成本比上一代产品 Cyclone 器件低了 30%,逻辑容量大了三倍多。 本设计的综合工具 Quartus II 是 Altera 公司的综合性 PLD/FPGA 开发软件,支持原理图、VHDL、Verilog HDL 以及 AHDL 等多种设计输入 形式。本设计的仿真工具是 Mentor 公司的 Modelsim10.1a,这是业界最优秀的 HDL 语 言仿真软件,它能提供友好的仿真

8、环境,是业界唯一的单内核支持 VHDL 和 Verilog 混合仿真的仿真器。 基本流程是这样的:首先根据设计任务要求进行方案的设计,包括 8X8 点阵显示 原理的熟悉、电路接口的确定、功能框图与模块的划分、验证方案等,然后就是用 Verilog HDL 对设计电路进行描述、建立测试平台和激励、在仿真工具上进行仿真和矫 正,接着在综合工具上进行时序约束、管脚锁定,最后下载到芯片上实现和验证。 1 总体电路结构设计 1.1 8X8 点阵显示原理 本设计所使用的为一个共阳极 8X8 单色 LED 点阵,型号为 1588AB-5,点阵的外 部引脚的图如图 1-1,内部结构等效电路图如图 1-3,共由

9、 64 个发光二极管组成,且每 个发光二极管是放置在行线和列线的交叉点上,同一行的 LED 阳极连接在一起,同一 列的阴极连在一起,仅当阳极和阴极的电压被加上,使 LED 为正偏时,LED 才发亮, 即当对应的某一行置 1 电平,某一列置 0 电平,则相应的二极管就亮;如要将第一个 点点亮,则 7 脚接高电平 A 脚接低电平,则第一个点就亮了;如果要将第一行点亮, 则第 7 脚要接高电平,而(A、B、C、D、E、F、G、H)这些引脚接低电平,那么第 一行就会点亮;如要将第一列点亮,则第 A 脚接低电平,而 (7、6、5、4、3、2、1、0)接高电平,那么第一列就会点亮。 图 1-1 8X8 点

10、阵外观及引脚图 图 1-2 0 的显示图样 图 1-3 8X8 点阵 LED 等效电路图 LED 的点亮方式可以按行顺序点亮(行扫描法) ,也可以按列顺序点亮(列扫描法) ,本电路设计采用行描方式。行扫描方式的扫描顺序为从下到上,依次点亮,则顺序 输出的扫描码为 8h80,8h40,8h20,8h10,8h08,8h04,8h02,8h01。如果想 要显示“0”如图 1-2,则只需从上到下各行的显示码分别为 8he8,8hdb,8h99,8 h88,8h91,8h99,8hdb,8he7,即可显示出“0”字样。对于扫描信号的频率,因为 人的肉眼所能分辨的最大频率一般为 25Hz 左右,大于这个

11、频率,肉眼所看到的点阵是 连续点亮,所有本设计使用的工作时钟为 1KHZ 是绰绰有余的。 1.2 关键功能电路设计 本电路设计的主要难在于对数据信息的显示编码,即数据编码功能块的设计。任 务要求显示 0-9 的数字和大小写的英文字符,共 62 个显示图样,则首先必须对这 62 个要显示的数据进行字模提取,就是要提取各个图样的显示码。 表 1.1 各个字符(数字)对应的 ASCLL 码和显示码表 数字和字母ASCLL 码(十六进制)显示码(十六进制) 0308he7,8hdb,8h99,8h89,8h91,8h99,8hdb,8he7 1318he7,8hc7,8he7,8he7,8he7,8h

12、e7,8he7,8hc3 2328he7,8hdb,8h99,8h99,8hf3,8he7,8hcf,8h81 3338hc3,8h99,8h99,8hf3,8hf3,8h99,8h99,8hc3 4348hfb,8hf3,8he3,8hd3,8hb3,8h81,8hf3,8hf3 5358h81,8h9f,8h9f,8h83,8hf9,8h99,8h99,8hc3 6368hf7,8hef,8hdf,8h83,8h99,8h99,8hdb,8he7 7378h81,8hf9,8hf9,8hf3,8he7,8he7,8he7,8he7 8388hc3,8h99,8h99,8hc3,8h99,8

13、h99,8h99,8hc3 9398he7,8hdb,8h99,8h99,8hc1,8hfb,8hf7,8hef A418he7,8hc3,8hdb,8h99,8h81,8h3c,8h3c,8h3c B428h03,8h39,8h39,8h03,8h39,8h39,8h39,8h03 C438hc1,8h9e,8h3f,8h3f,8h3f,8h3f,8h9e,8hc1 D448h03,8h39,8h3c,8h3c,8h3c,8h3c,8h39,8h03 E458h00,8h3f,8h3f,8h01,8h3f,8h3f,8h3f,8h00 F468h00,8h3f,8h3f,8h01,8h3f,

14、8h3f,8h3f,8h3f G478hc3,8h99,8h3c,8h3f,8h30,8h3c,8h99,8hc3 H488h3c,8h3c,8h3c,8h00,8h3c,8h3c,8h3c,8h3c I498hc3,8he7,8he7,8he7,8he7,8he7,8he7,8hc3 J4a8hc0,8hf9,8hf9,8hf9,8hf9,8h39,8h33,8h87 K4b8h39,8h33,8h27,8h0f,8h27,8h33,8h39,8h3c L4c8h3f,8h3f,8h3f,8h3f,8h3f,8hx,8h3e,8h00 续表 1.1 各个字符(数字)对应的 ASCLL 码和显

15、示码表 M4d8h7e,8h3c,8h18,8h24,8h3c,8h3c,8h3c,8h3c N4e8h7c,8h3c,8h1c,8h2c,8h34, 8h37,8h3c,8h3e O4f8hc3,8h99,8h3c,8h3c,8h3c,8h3c,8h99,8hc3 P508h01,8h3c,8h3c,8h01,8h3f,8h3f,8h3f,8h3f Q518hc3,8h99,8h3c,8h3c,8h34,8h38,8h99,8hc2 R528h01,8h3c,8h3c,8h01,8h0f,8h27,8h33,8h38 S538hc1,8h9c,8h3f,8h8f,8hf1,8hfc,8h39

16、,8h83 T548h00,8he7,8he7,8he7,8he7,8he7,8he7,8he7 U558h3c,8h3c,8h3c,8h3c,8h3c,8h3c,8h3c,8h81 V568h3c,8h3c,8h3c,8h99,8h99,8hdb,8hc3,8he7 W578h3c,8h24,8h24,8h24,8h24,8h24,8h24,8h99 X588h3c,8h18,8hdb,8he7,8he7,8hdb,8h18,8h3c Y598h3c,8h3c,8h99,8hc3,8he7,8he7,8he7,8he7 Z5a8h00,8hf9,8hf3,8he7,8hcf,8h9f,8h3f,8h00 a618hff,8hff,8hc7,8hb7,8hb7,8hcb,8hff,8hff b628hbf,8hbf,8ha3,8h9d,8h9d,8ha3,8hff,8hff c638hff,8hff,8hc7,8h9f,8h9f,8hc7,8hff,8hff d648hfd,8hfd,8hc5,8hb9,8hb9,8hc5,8hff,8hff e658hf8,8hc7,8hbb,8h8

展开阅读全文
相关资源
正为您匹配相似的精品文档
相关搜索

最新文档


当前位置:首页 > 办公文档 > 其它办公文档

电脑版 |金锄头文库版权所有
经营许可证:蜀ICP备13022795号 | 川公网安备 51140202000112号