微型计算机及控制技术实训(模板)

上传人:油条 文档编号:1729107 上传时间:2017-07-11 格式:DOCX 页数:7 大小:42.59KB
返回 下载 相关 举报
微型计算机及控制技术实训(模板)_第1页
第1页 / 共7页
微型计算机及控制技术实训(模板)_第2页
第2页 / 共7页
微型计算机及控制技术实训(模板)_第3页
第3页 / 共7页
微型计算机及控制技术实训(模板)_第4页
第4页 / 共7页
微型计算机及控制技术实训(模板)_第5页
第5页 / 共7页
点击查看更多>>
资源描述

《微型计算机及控制技术实训(模板)》由会员分享,可在线阅读,更多相关《微型计算机及控制技术实训(模板)(7页珍藏版)》请在金锄头文库上搜索。

1、 微型计算机及控制技术实训班级: 学号: 姓名: 实验一 LED 流水灯设计一、设计目的1、通过此次设计,熟悉并掌握实验系统原理和方法。2、掌握流水灯原理和使用方法。二、设计要求1、掌握 AT89C51 单片机与外设 LED 的引脚接法和使用原理2、使用 Keil 编译环境,编写 LED 发光二极管顺序灭的程序3、在 Keil 编译好的程序下载到 AT89S51 单片机中,实现 8 个 LED发光二极管顺序灭,间隔 2 秒。三、实验步骤:1、实验电路图:2、编码程序:3、实验结果:实验二 88 点阵的设计一、实验目的1.了解点阵式 LED 显示原理。2.掌握单片机与 88 点阵块之间接口电路设

2、计及编程。3.掌握 8155 工作原理及与单片机的接口电路设计。二、实验要求利用 88 点阵显示屏,自己设计并调试电路板,实现在单片机的控制下,点阵显示屏显示数字。3、实验步骤1、实验电路图:2、编码程序:3、实验结果:实验三 矩阵键盘的设计一、实验目的1、掌握键盘接口的基本特点,了解独立键盘和矩阵键盘的应用方法。2、掌握键盘接口的硬件设计方法,软件程序设计的能力。3、复习利用 Keil51 软件对程序进行编译。4、用 Proteus 软件绘制“矩阵键盘扫描”电路,并进行仿真。二、实验要求1、能熟练的编写 89S51 单片机汇编程序。2、能够熟练的运用仿真软件进行仿真,应用 44 的矩阵键盘,

3、对按下的对应键盘能够准确的显示出来。三、实验步骤1、用 Proteus 绘制“矩阵键盘扫描”电路原理图。2、编写程序(使数码管显示当前闭合按键的键值) 。3、实验结果:利用 Proteus 软件的仿真功能对其进行仿真测试,观察数码管的显示状态和按键开关的对应关系。实验四 电子时钟设计一、设计目的通过此次设计,熟悉并掌握实验系统的原理和方法。掌握电子时钟的原理和使用方法。二、设计要求1、设计一个单片机控制的电子时钟,并且能够实现时间、分钟和秒的显示和调节。2、设计带有按键和显示模块实现的硬件电路。3、设计出软件编程方法,并利用查表,中断等方式写出程序。三、实验步骤1、实验电路图:2、编码程序:3

4、、实验结果:实验五 交通信号灯的控制系统设计一、实验目的1、掌握交通信号灯实时控制系统的设计思想与实现方法。2、掌握定时/计时器和并行接口在实时控制系统中的应用。3、加深对定时/计时器和并行接口芯片的工作方式和编程方法的了解及加深对中断机理及应用方法的了解。二、实验要求1、能熟练的利用 Keil51 软件编写 AT89S51 单片机程序。2、能够熟练的运用 Proteus 软件绘制系统电路,并进行仿真。3、用 6 个发光二极管分别表示东西和南北方向的红、黄、绿灯。4、交通灯控制系统工作情况:(1)东西绿灯亮 30 秒;南北红灯;(2)东西方向绿灯 30 秒后,绿灯开始闪烁 4 秒;南北方向红灯

5、。(3)东西方向绿灯闪烁 4 秒后,变黄灯亮 2 秒;南北方向红灯。(4)2 秒后,东西方向变红灯,南北方向红灯,保持 3 秒。(5)3 秒后,东西方向红灯;南北方向黄灯亮 1 秒。(6)1 秒后,东西方向红灯;南北方向绿灯亮 30 秒。南北方向开始循环以上 6 步。三、实验步骤1、用 Proteus 绘制电路原理图。2、编写程序。3、实验结果:利用 Proteus 软件的仿真功能对其进行仿真测试。实验六 温度控制系统设计一、实验目的1、掌握温度的自动控制系统的设计思想与实现方法。2、掌握温度传感器器在实时控制系统中的应用。3、熟悉对各种电路的工作方式和编程方法,加深对几种电路应用方法的了解。二、实验要求1、能熟练的利用 Keil51 软件编写单片机程序。2、能够熟练的运用 Proteus 软件绘制系统电路,并进行仿真。3、利用 AT89S51 单片机、温度传感器 DS18B20、键盘与显示电路、温度控制电路等,实时的显示和设定温度,实现对温度的控制。三、实验步骤1、用 Proteus 绘制电路原理图。2、编写程序。3、实验结果:利用 Proteus 软件的仿真功能对其进行仿真测试。

展开阅读全文
相关资源
正为您匹配相似的精品文档
相关搜索

最新文档


当前位置:首页 > 商业/管理/HR > 其它文档

电脑版 |金锄头文库版权所有
经营许可证:蜀ICP备13022795号 | 川公网安备 51140202000112号