新数字存数示波器

上传人:世*** 文档编号:169693546 上传时间:2021-02-27 格式:DOC 页数:10 大小:104.50KB
返回 下载 相关 举报
新数字存数示波器_第1页
第1页 / 共10页
新数字存数示波器_第2页
第2页 / 共10页
新数字存数示波器_第3页
第3页 / 共10页
新数字存数示波器_第4页
第4页 / 共10页
新数字存数示波器_第5页
第5页 / 共10页
点击查看更多>>
资源描述

《新数字存数示波器》由会员分享,可在线阅读,更多相关《新数字存数示波器(10页珍藏版)》请在金锄头文库上搜索。

1、简易数字示波器实验报告 专业:通信工程 班级:08级2班 姓名:郑昕 学号:简易数字存储示波器摘要数字存储示波器具有可存储波形、体积小、功耗低,使用方便等优点,而且具有强大的信号实时处理分析功能,在电子测量领域,数字存储示波器正在逐渐取代模拟示波器。本系统通过单片机与CPLD相结合构成简易数字存储示波器。一、 系统框图本系统最大的特点是使用AD574L来加快采集数据的速度以提高精度,使用双口RAM来调节快速AD574与单片机之间的速度问题。放大器CPLD 控制WRABDBAD574DB单片机双口RAM713212K64脉冲跟随器比较器图1二、主要的电路设计 1、脉冲信号放大器对脉冲信号放大选用

2、LM324(实际用LM311),第一级放大倍数为10倍,第二级为可调放大器,放大倍数最大可达到50倍,第三级可以将电压抬起,由负电压转化为正电压。硬件设如计图2。 图22、比较电路采用LM111比较器进行比较,当输入信号放大后大于0时,通知控制电路,启动采样存储数据;当信号小于0时,无信号产生,AD574停止进行模拟到数字的转换。电路设计如图3。图33、单片机小系统 单片机小系统板包括: AT89C51、74HC573、GAL6U8、等,可外接键盘,液晶屏。可实现多种简单的功能。如图4 图44、整个系统电路设计整个系统由单片机(小系统板中的AT98C51)、CPLD(制作中选用EPM7064S

3、LC44)、快速AD574、双口RAM(IDT7132)构成,实现本系统功能。电路如图5。图5三、器件选择1、IDT7132IDT7132为CMOS静态RAM,存储容量为2K字节。IDT7132具有两套I/O电路,可进行同时存取,并有一套竞争裁判电路。IDT7132有左、右两套完全相同I/O口,即两条数据总线D0D7,两条地址总线A0A10,两条控制总线CE、R/W、OE、BUSY。也就是说IDT7132内部的2K字节存储器可以通过左右两边的任一组I/O口进行全异步的存储器读写操作。2、AD574 AD574是美国摸迷数字公司(Analog)推出的单片机高速12位逐次比较型A/D转换器,内置双

4、极性电路构成的混合集成转换显示,具有外接元件少,精度高等特点,并且具有自动校零和自动极性转换功能,只需外接少量的阻容件即可构成一个完整的A/D转换器,AD574转换速度快,转换速度为25us。四、CPLD控制部分设计Cpld=0Cot=1St6St0St1Cpld=1Start=0Start=1Cot=0Sts=1Sts=0St2St3St4St5图6控制状态如图6所示。状态st6为判断是否有脉冲,从比较器输入信号后如果有脉冲信号CPLD=1,控制电路启动。状态st0为判断启动信号,当单片机发出启动信号START=1时,控制AD574开启。状态st1为AD574的转换状态st2为采样周期等待,

5、当STS=0转换结束,进入下一个状态。STS=1循环此状态。状态st3为转换结束后向双口RAM送地址。状态st4为双口RAM允许读写。状态st5为存入数据。控制程序如下:USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_UNSIGNED.ALL;ENTITYad574IS PORT(start,clk,STS,cpld:in std_logic; -CPLD:启动CPLD STS:AD转换标志 clk:时钟 start:单片机开启CPLDceram,rw:out std_logic;-双口RAM控制 cead574,cs,A0,rc:out std_

6、logic; -AD574控制 FOUT:out std_logic;-通知单片机读ROM addbus: out std_logic_vector(10 downto 0); -11位地址总线END ad574;ARCHITECTURE behav OF ad574 IS TYPE STATES IS(st0,st1,st2,st3,st4,st5,st6);-7 signal current_state, next_state:states:=st6; signal q :std_logic_vector(10 downto 0):=; -中间地址 signal ful:std_logic

7、;- signal cnt4:std_logic_vector(3 downto 0); signal cnt2,clk1,cot,sta:std_logic;beginCOM1:PROCESS(current_state,STS) -控制转换状态进程begin case current_state iswhen st6=if(cpld=1) then next_state=st0; else next_stateif(start=1) then next_state=st1; else next_stateif(cot=1) then next_state=st0; else next_st

8、ateif(STS=1) THEN next_state=st2; -STS=1 转换没有结束 等待 STS=0 转换结束 else next_statenext_statenext_statenext_statenext_state=st0; end case; end process COM1;ad:process(sta,start) -控制送地址begin if(start=0) then q=;cot=0; - 当START=0时 COT=0 else if(staevent and sta=0) then if q= then q=;-2048 cot=1; else q=q+1;

9、cot=0; end if; end if;end if;addbus=q;FOUTcead574=1;cs=0;A0=0;RC=1;ceram=1;rw=1;stacead574=1;cs=0;A0=0;RC=1;ceram=1;rw=1;stacead574=1;cs=0;A0=1;RC=0;ceram=1;rw=1;stacead574=1;cs=0;A0=1;RC=0;ceram=1;rw=1;stacead574=1;cs=0;A0=1;RC=1;ceram=1;rw=1;stacead574=1;cs=0;A0=1;RC=1;ceram=0;rw=0;stacead574=1;cs

10、=0;A0=1;RC=1;ceram=0;rw=0;sta cead574=1;cs=1;A0=0;RC=1;ceram=1;rw=1;sta=0;end case;end process com2; freq1:process(clk) -数控分频(四位)begin if(clkevent and clk=1) then if cnt4=1111 then cnt4=1101;ful=1; else cnt4=cnt4+1; ful=0; end if; end if; end process freq1;freq2:process(ful) begin if fulevent and ful=1 then cnt2=not cnt2; if cnt2=1 then clk1=1; else clk1=0; end if; end if ;end process freq2;reg:process(clk1) -时序进程 begin if(clk1event and clk1=1) then current_state=next_state; end if; end process reg; end behav;五、软件设计1、程序流程图初始化启动CPLD显示波形函数调用冻结函数读按键显示菜单开始NY213返回菜单程序2、软件程序:#include#includeabsacc

展开阅读全文
相关资源
正为您匹配相似的精品文档
相关搜索

最新文档


当前位置:首页 > 办公文档 > 教学/培训

电脑版 |金锄头文库版权所有
经营许可证:蜀ICP备13022795号 | 川公网安备 51140202000112号