EDA 技术:EDA实验2 用七段LED显示8421BCD码的VHDL设计

上传人:wox****ang 文档编号:157234035 上传时间:2020-12-21 格式:PPT 页数:7 大小:894KB
返回 下载 相关 举报
EDA 技术:EDA实验2 用七段LED显示8421BCD码的VHDL设计_第1页
第1页 / 共7页
EDA 技术:EDA实验2 用七段LED显示8421BCD码的VHDL设计_第2页
第2页 / 共7页
EDA 技术:EDA实验2 用七段LED显示8421BCD码的VHDL设计_第3页
第3页 / 共7页
EDA 技术:EDA实验2 用七段LED显示8421BCD码的VHDL设计_第4页
第4页 / 共7页
EDA 技术:EDA实验2 用七段LED显示8421BCD码的VHDL设计_第5页
第5页 / 共7页
点击查看更多>>
资源描述

《EDA 技术:EDA实验2 用七段LED显示8421BCD码的VHDL设计》由会员分享,可在线阅读,更多相关《EDA 技术:EDA实验2 用七段LED显示8421BCD码的VHDL设计(7页珍藏版)》请在金锄头文库上搜索。

实验二用七段LED显示8421BCD码的VHDL设计,实验要求,用VHDL设计具有清除端、使能端,计数范围为0-999的计数器设计。输出为8421BCD码; 用VHDL设计七段LED译码显示电路; Quartus进行时序仿真; 下载该程序验证程序是否正确;,七段译码器显示原理,实验箱简介,实验报告要求,1、写出实验源程序,画出仿真波形; 2、总结实验步骤和实验结果 ; 3、完成实验指导书的思考题 。,

展开阅读全文
相关资源
正为您匹配相似的精品文档
相关搜索

最新文档


当前位置:首页 > 高等教育 > 大学课件

电脑版 |金锄头文库版权所有
经营许可证:蜀ICP备13022795号 | 川公网安备 51140202000112号