两位数码管显示电路

上传人:xmg****18 文档编号:145411348 上传时间:2020-09-20 格式:DOC 页数:17 大小:262.50KB
返回 下载 相关 举报
两位数码管显示电路_第1页
第1页 / 共17页
两位数码管显示电路_第2页
第2页 / 共17页
两位数码管显示电路_第3页
第3页 / 共17页
两位数码管显示电路_第4页
第4页 / 共17页
两位数码管显示电路_第5页
第5页 / 共17页
点击查看更多>>
资源描述

《两位数码管显示电路》由会员分享,可在线阅读,更多相关《两位数码管显示电路(17页珍藏版)》请在金锄头文库上搜索。

1、. . EDA设计论文题 目 学 院 专业班级 学生 指导教师 2016年 1 月 10 日目 录摘 要IAbstractII第1章 绪论11.1 概述11.2 设计的目的11.3 设计的基本容1第2章 EDA、Verilog HDL简介22.1 EDA技术22.1.1 EDA技术的概念22.1.2 EDA技术的特点22.1.3 EDA设计流程22.2 硬件描述语言(Verilog HDL)32.2.1 Verilog HDL简介32.2.2 Verilog HDL语言的特点3第3章 两位数码管的动态显示电路的设计过程43.1 系统需求分析43.2 设计原理43.3 MAXII晶体管说明53.

2、4 编写代码63.5 管脚分配8第4章 系统仿真9结论10参考文献11附录12致13摘 要在信息时代的今天,单片机技术应用越来越广泛,涉及各行各业,也渗透到人们的日常生活之中,如洗衣机、空调、冰箱等的控制系统,就可以用单片机实现。为了让人们很直观的了解相关设备当前的工作状态,很多时候需要将当前的时间、温度、工作程序等状态通过数码管显示出来,这就涉及到单片机的数码管显示技术。在实际应用中,单片机的数码管显示,正确、高效应用数码管显示技术设计成功与否的一个关键问题之一。本文介绍了通过学习版开设计两位数码管的显示十六进制数。采用汇编进行编程,可以实现从00到FF的十六进制数的循环显示。在Quartu

3、s 软件平台上,完成了两位数码管的显示电路的设计。采用Verilog HDL硬件描述语言描述两位数码管的显示电路,完成对电路的功能仿真。关键词:两位数码管的显示 Quartus 状态机 循环AbstractIn the information age today, SCM technology is applied more and more widely, involved in all walks of life, but also penetrate into peoples daily life, such as washing machines, air conditioners,

4、refrigerators and other control system, you can with MCU. In order to let people very intuitive understanding of the relevant equipment current state, most of the time to the current time, temperature, and work procedures state through the digital tube display, which involves the MCU digital tube di

5、splay technology. In practical application, the digital tube display of single chip microcomputer is generally used in dynamic display mode, and it is one of the key problems in the design of dynamic display technology with correct and high efficiency. This paper introduces the dynamic display of th

6、e two bit digital tube through the learning version of the sixteen digit number. Assembly for programming, can be achieved from 1 to F sixteen decimal number of automatic display. On Quartus II software platform, the design of dynamic display circuit of two bit digital tube is completed. The HDL Ver

7、ilog hardware description language is used to describe the dynamic display circuit of two bit digital tube, and the function simulation of the circuit is completed.Keywords: Dynamic display of multi bit digital tube Quartus State machine Circulat第1章 绪论1.1 概述数码管是一类显示屏通过对其不同的管脚输入相对的电流,会使其发亮,从而显示出数字能够显

8、示时间、日期、温度等所有可用数字表示的参数。由于它的价格便宜,使用简单,在电器特别是家电领域应用极为广泛,空调、热水器、冰箱等等。绝大多数热水器用的都是数码管,其他家电也用液晶屏与荧光屏。然而在信息时代的今天,单片机技术应用越来越广泛,涉及各行各业,也渗透到人们的日常生活之中,如洗衣机、空调、冰箱等的控制系统,就可以用单片机实现。为了让人们很直观的了解相关设备当前的工作状态,很多时候需要将当前的时间、温度、工作程序等状态通过数码管显示出来,这就涉及到单片机的数码管显示技术。数码管显示是一种最常见的多位显示方法,应用非常广泛。1.2 设计的目的EDA技术作为现代电子设计技术的核心,它依赖功能强大

9、的计算机,所以本次设计的目的就是通过实践深入理解计算机组成原理,了解EDA技术并掌握Verilog HDL硬件描述语言的设计方法和思想。以计算机组成原理为指导,通过学习的Verilog HDL语言结合电子电路的设计知识理论联系本次的实习容数码管显示电路的设计,掌握所学的课程知识和基本单元电路的综合设计应用,提高IC设计能力,提高分析、解决计算机技术实际问题的独立工作能力。1.3 设计的基本容两位数码显示是将所有数码管的8段按同名端连在一起,另外为每个数码管的公共极COM增加位选通控制电路,位选通由各自独立的I/O线控制,显示数码同时传到每个数码管,但每个瞬时由位选通信号选通一个数码管,即在某一

10、瞬时只有一个数码管显示数据,且显示时间不能太长,一般为1-10MS,依次循环扫描,轮流显示。第2章 EDA、Verilog HDL简介2.1 EDA技术2.1.1 EDA技术的概念EDA是电子设计自动化(Electronic Design Automation)的缩写,在20世纪90年代初从计算机辅助设计(CAD)、计算机辅助制造(CAM)、计算机辅助测试(CAT)和计算机辅助工程(CAE)的概念发展而来的。EDA技术就是以计算机为工具,设计者在EDA软件平台上,用硬件描述语言HDL完成设计文件,然后由计算机自动地完成逻辑编译、化简、分割、综合、优化、布局、布线和仿真,直至对于特定目标芯片的适

11、配编译、逻辑映射和编程下载等工作。2.1.2 EDA技术的特点利用EDA技术进行电子系统的设计,具有以下几个特点: 用软件的方式设计硬件; 用软件方式设计的系统到硬件系统的转换是由有关的开发软件自动完成的; 设计过程中可用有关软件进行各种仿真; 系统可现场编程,在线升级; 整个系统可集成在一个芯片上,体积小、功耗低、可靠性高。因此,EDA技术是现代电子设计的发展趋势。2.1.3 EDA设计流程1、文本/原理图编辑与修改。首先利用EDA工具的文本或图形编辑器将设计者的设计意图用文本或图形方式表达出来。 2、编译。完成设计描述后即可通过编译器进行排错编译,变成特定的文本格式,为下一步的综合做准备。

12、 3、 综合。将软件设计与硬件的可实现性挂钩,是将软件转化为硬件电路的关键步骤。4、 行为仿真和功能仿真。利用产生的网表文件进行功能仿真,以便了解设计描述与设计意图的一致性。5、适配。利用FPGA/CPLD布局布线适配器将综合后的网表文件针对某一具体的目标器件进行逻辑映射操作,其中包括底层器件配置、逻辑分割、逻辑优化、布局布线。适配报告指明了芯片资源的分配与利用、引脚锁定、设计的布尔方程描述情况。 6、 功能仿真和时序仿真。7、 下载。如果以上的所有过程都没有发现问题,就可以将适配器产生的下载文件通过FPGA/CPLD下载电缆载入目标芯片中。8、 硬件仿真与测试。2.2 硬件描述语言2.2.1

13、 Verilog HDL简介Verilog HDL是一种硬件描述语言,用于从算法级、门级到开关级的多种抽象设计层次的数字系统建模。被建模的数字系统对象的复杂性可以介于简单的门和完整的电子数字系统之间。数字系统能够按层次描述,并可在相同描述中显式地进行时序建模。Verilog HDL 语言具有下述描述能力:设计的行为特性、设计的数据流特性、设计的结构组成以及包含响应监控和设计验证方面的时延和波形产生机制。所有这些都使用同一种建模语言。此外,Verilog HDL语言提供了编程语言接口,通过该接口可以在模拟、验证期间从设计外部访问设计,包括模拟的具体控制和运行。2.2.2 Verilog HDL语

14、言的特点1.既能用于电路设计的综合,又可以用于模拟仿真。2.能够在多个层次上对所设计的系统加以描述,从开关级、门级、寄存器传输级到行为级等,语言不对设计的规模施加任何限制。3.灵活多样的电路描述风格,可进行行为描述,也可进行结构描述或数据流描述;支持混合建模在一个设计中各个模块可以在不同的设计层次上建模和描述。第3章 两位数码管的显示电路3.1 系统需求分析1)计数电路采用十六进制,从00开始到FF后再回到00。2)各用2位数码管显示。3)具有自动开始和手动暂停功能。4)为了保证计数的稳定及准确,须由晶体振荡器提供数字基准信号3.2 设计原理如图4.9-1所示,LED数码管由7个发光二极管组成,此外,还有一个圆点型发光二极管(在图中以dp表示),用于显示小数点。通过七段发光二极管亮暗的不同组合,可以显示多种数字、字母以及其它符号。LED数码管中的发光二极管共有两种连接方法:1)共阴极接法:把发光二极管的阴极连在一

展开阅读全文
相关资源
相关搜索

当前位置:首页 > 办公文档 > 工作范文

电脑版 |金锄头文库版权所有
经营许可证:蜀ICP备13022795号 | 川公网安备 51140202000112号