EDA实验1-4

上传人:灯火****19 文档编号:144141942 上传时间:2020-09-06 格式:DOC 页数:19 大小:2.65MB
返回 下载 相关 举报
EDA实验1-4_第1页
第1页 / 共19页
EDA实验1-4_第2页
第2页 / 共19页
EDA实验1-4_第3页
第3页 / 共19页
EDA实验1-4_第4页
第4页 / 共19页
EDA实验1-4_第5页
第5页 / 共19页
点击查看更多>>
资源描述

《EDA实验1-4》由会员分享,可在线阅读,更多相关《EDA实验1-4(19页珍藏版)》请在金锄头文库上搜索。

1、本科实验报告课程名称: CPLD/FPGA应用设计 实验项目: 1位全加器 2位10进制计数器 显示译码器 4位加法计数器 实验地点: 矿院楼二层EDA实验室 专业班级: 电子信息工程1101班 学 号: 学生姓名: 年 月 日本科实验报告课程名称: CPLD/FPGA应用设计 实验项目: 1位全加器 实验地点: 矿院楼二层EDA实验室 专业班级: 电子信息工程1101班 学 号: 学生姓名: 年 月 日实验一 1位全加器一、实验目的1、熟悉ispDesignEXPERT System、Quartus原理图设计流程的全过程。2、学习简单组合电路的设计方法、输入步骤。3、学习层次化设计步骤。4、

2、学习EDA设计的仿真和硬件测试方法。二、实验原理1位全加器可以由图1那样用两个半加器及一个或门连接而成,因此需要首先完成图2所示的半加器设计。要求使用原理图输入的方法先进行底层半加器设计,再建立上层全加器设计文件,调用半加器和或门符号,连接完成原理图设计。图1 - 全加器原理图图2 - 半加器原理图三、实验任务1、用原理图输入方法设计半加器电路。2、对半加器电路进行仿真分析、引脚锁定、硬件测试。3、建立顶层原理图电路。4、对全加器电路进行仿真分析、引脚锁定、硬件测试四、实验步骤1、建立设计工程打开Quartus6.0软件,新建项目,选择filenew project wizard命令,指定工程

3、的工作目录,工程名及顶层实体名,并选择FPGA器件EP1C12Q240C8。2、原理图源文件输入:a、新建原理图输入源文件选择filenew命令,在【New】对话框中选择Design FilesBlock Diagram/Schematic File原理图文件输入。b、添加元器件符号在绘图区双击鼠标左键,即弹出添加符号元件的窗口c、添加输入、输出符号d、连线及连线命名、标记输入、输出,并保存已完成的设计3、功能和时序仿真a、建立矢量波形文件。选择filenew命令,在“New”对话框中选Other FilesVector Waveform File.b、在矢量波形文件中加入输入输出节点,并编辑

4、波形文件c、进行功能仿真和时序仿真设置,之后点击菜单项 Processing-Generate Functional Simulation Netlist,产生功能仿真所需的网表,完成后启动仿真器,processingstart simulation进行功能、时序仿真4、硬件测试首先进行引脚分配,选择菜单中的assignmentspins,进入引脚分配。检测引脚分配是否合法,processingstartstart I/O Assignment Analylist命令,之后进行全局编译,下载。下载完成后在硬件上连接电路测试。五、实验结果1、半加器设计1.1 - 半加器原理图输入1.2 半加器功

5、能仿真1.3 半加器引脚锁定1.4 半加器编程下载2、全加器设计2.1 - 全加器原理图输入2.2 全加器功能仿真2.3 全加器引脚锁定六、思考题用设计好的全加器,实现四位串行加法器的设计,并给出波形仿真图及加法器的延时情况。1、原理图文件2、波形仿真七、实验感想本科实验报告课程名称: CPLD/FPGA应用设计 实验项目: 2位10进制计数器 实验地点: 矿院楼二层EDA实验室 专业班级: 电子信息工程1101班 学 号: 学生姓名: 年 月 日实验二 2位十进制计数器一、实验目的1、熟悉Quartus II的原理图设计流程全过程。2、学习简单时序电路的设计方法。3、学习EDA设计的时序仿真

6、和硬件测试方法。二、实验原理2位十进制计数器参考原理图如图1所示,也可以采用其他元器件实现。三、实验任务1、设计2位十进制计数器电路。2、在EDA环境中输入原理图。3、对计数器进行仿真分析、引脚锁定、硬件测试。四、实验步骤1、设计电路原理图设计含有时钟使能及进位扩展输出的十进制计数器。可以选用双十进制计数器74LS390或者十进制计数器74LS160和其他一些辅助元件来完成。 2、计数器电路的实现按照电路图进行连线,完成完整的实验原理图。绘制过程中应特别注意图形设计规则中信号标号和总线的表达方式。若将一根细线变成一粗线显示的总线,可以先单机使其变红,再选Option选项中的Line Style

7、;若在某线上加信号标号,也应该点击该线某处使其变成红色,然后键入标号名称,标有相同标号的线段可视为连接线段,不必直接连接。总线可以以标号方式进行连接。3、波形仿真4、编程下载、硬件测试五、实验结果1、原理图文件2、RTL仿真3、波形仿真图4、引脚分配图5、硬件测试六、实验感想本科实验报告课程名称: CPLD/FPGA应用设计 实验项目: 显示译码器 实验地点: 矿院楼二层EDA实验室 专业班级: 电子信息工程1101班 学 号: 学生姓名: 年 月 日实验二 显示译码器一、实验目的1、学习七段显示译码器设计。2、学习进程PROCESS和CASE语句的设计方法。3、熟悉VHDL文本输入设计的流程

8、。二、实验原理设计共阴极数码管的七段显示译码电路,VHDL参考程序如下:LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL; ENTITY YIMA7 IS PORT(A :IN STD_LOGIC_VECTOR(3 DOWNTO 0); LED7S:OUT STD_LOGIC_VECTOR(6 DOWNTO 0);END;ARCHITECTURE ART OF YIMA7 ISBEGIN PROCESS(A) BEGIN CASE A IS WHEN 0000 = LED7S LED7S LED7S LED7S LED7S LED7S LED7S LED7S L

9、ED7S LED7S LED7S LED7S LED7S LED7S LED7S LED7S NULL; END CASE; END PROCESS;END;三、实验内容1、完成显示译码器的VHDL描述2、在Quartus上对显示译码器的VHDL描述进行编辑、编译、综合、适配、仿真,给出其所有信号的时序仿真波形。3、进行引脚锁定以及硬件下载测试。四、实验步骤1、打开软件,新建一个VHDL File文件,输入需要设计的程序。2、对该VHDL语言进行编辑,无误后进行编译。3、编译完成后分配管脚,之后进行编译。4、波形仿真5、由程序进行RTL仿真生成电路图。6、硬件测试。把实验箱连接好电源和计算及接

10、口,通过JTAG接口把程序下载下来,即可在实验箱上通过按键和发光二极管来检验实验的正确性。五、思考题讨论语句when others=null作用,对于不同的VHDL综合器,此句是否具有相同的含义和功能?答:这个语句在本实验中有无没有影响,因为case中包含了所有16种可能,如果在其他的程序,case下的可能不是所有,就可能提示出错。对于不同的综合器,得到的结果是相同的,跟综合器无关,都是保持原样值不变的意思。六、实验感想。本科实验报告课程名称: CPLD/FPGA应用设计 实验项目: 4位加法计数器 实验地点: 矿院楼二层EDA实验室 专业班级: 电子信息工程1101班 学 号: 学生姓名: 年 月 日实验八 4位加法计数器一、实验目的1学习时序电路的VHDL描述方法。2掌握时序进程中同步、异步控制信号的设计。3熟悉EDA的仿真分析和硬件测试技术。 二、实验内容1、编写4位二进制加法计数器的VHDL程序。2、在Quartus上对加法计数器进行仿真。3、将输入引脚连接到拨码开关,时钟输入锁定到相应频率的时钟信号,输出连接到发光二极管,下载后在实验板上验证其功能,记录实验结果。三、实验仪器软件:windows xp Quartus6.0 硬件:实验板四、实验原理设计一个含计数使能、异步复位和并行预置功能的4位加法计数器,RST是

展开阅读全文
相关资源
正为您匹配相似的精品文档
相关搜索

最新文档


当前位置:首页 > 中学教育 > 中学实验

电脑版 |金锄头文库版权所有
经营许可证:蜀ICP备13022795号 | 川公网安备 51140202000112号