译码器绝对是即可用

上传人:876****10 文档编号:141780168 上传时间:2020-08-12 格式:DOC 页数:9 大小:229KB
返回 下载 相关 举报
译码器绝对是即可用_第1页
第1页 / 共9页
译码器绝对是即可用_第2页
第2页 / 共9页
译码器绝对是即可用_第3页
第3页 / 共9页
译码器绝对是即可用_第4页
第4页 / 共9页
译码器绝对是即可用_第5页
第5页 / 共9页
点击查看更多>>
资源描述

《译码器绝对是即可用》由会员分享,可在线阅读,更多相关《译码器绝对是即可用(9页珍藏版)》请在金锄头文库上搜索。

1、论文题目3:8线译码器课程论文要 求1掌握3-8译码器的构成、原理与设计方法;2能用VHDL语言设计3-8译码器电路;3. 能够实现3-8译码器的译码功能设计过程1设计方案用VHDL编写相应程序实现3-8译码器已达到所要求实现的功能,即输入一个三位二进制代码能将其译码成8位高低电平信号.2上机设计与仿真结果2.1程序设计一段完整的VHDL代码包括程序包,程序实体和程序结构体,程序实体定义电路的输入输出引脚信号,在次实际中定义A0-A2为输入信号引脚,定义D0-D7为输出引脚信号,结构体具体描述电路的内部结构和逻辑功能.2.1.1 3-8译码器的源程序LIBRARY IEEE;USE IEEE.

2、STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_UNSIGNED.ALL; -库的说明ENTITY yima38a ISPORT(A:IN STD_LOGIC_VECTOR(2 DOWNTO 0); Y:OUT STD_LOGIC_VECTOR(7 DOWNTO 0); END;ARCHITECTURE BEHAVIOR OF yima38a IS BEGIN PROCESS(A) BEGIN CASE A IS WHEN000=YYYYYYYYY=00000000; END CASE; END PROCESS;END BEHAVIOR;2.2 仿真结果仿真效果如图

3、1和图2所示图1 仿真效果图图2 仿真效果图3硬件实验方案及实验结果3.1硬件实验方案3.1.1 3-8译码器工作原理如下:二进制译码器的输入是一组二进制代码,输出是一组与输入代码一一对应的高、低电平信号.对于三-八译码器来说,3位二进制共有8种状态,所以对应的输出有8种状态.例如:对于二进制代码111来说,输出为10000000.对于二-四译码器来说,2位二进制共有4种状态,所以对应的输出有4种状态.例如:对于二进制代码11来说,输出为1000.31.2关于三-八译码器的工作框图如图2所示INP2.0 OUTP7.0CS1YIMA_138图2 三-八译码器的工作框图3.1.3译码器内部电路图

4、如图3所示:图3译码器内部电路3.1.4 3-8译码器引脚排列图如图4所示:图4 3-8译码器引脚排列图3.1.53-8译码器的功能表:输 入输 出S1+A2A1A010000011111111000110111111100101101111110011111011111010011110111101011111101110110111111011011111111110011111111111111111无论从逻辑图还是功能表我们都可以看到3-8译码器的八个输出管脚,任何时刻要么全为高电平1芯片处于不工作状态,要么只有一个为低电平0,其余7个输出管脚全为高电平1.如果出现两个输出管脚在同一个

5、时间为0的情况,说明该芯片已经损坏.当附加控制门的输出为高电平(S1)时,可由逻辑图写出由上式可以看出,在同一个时间又是这三个变量的全部最小项的译码输出,所以也把这种译码器叫做最小项译码器.3-8译码器有三个附加的控制端、和.当、时,输出为高电平(S1),译码器处于工作状态.否则,译码器被禁止,所有的输出端被封锁在高电平,如表1所示.这三个控制端也叫做“片选”输入端,利用片选的作用可以将多篇连接起来以扩展译码器的功能.带控制输入端的译码器又是一个完整的数据分配器.电路中如果把作为“数据”输入端(在同一个时间),而将作为“地址”输入端,那么从送来的数据只能通过所指定的一根输出线送出去.这就不难理

6、解为什么把叫做地址输入了.例如当101时,门的输入端除了接至输出端的一个以外全是高电平,因此的数据以反码的形式从输出,而不会被送到其他任何一个输出端上.3.2 实验结果对其仿真图进行仿真分析:OUTP译码输出标志,INP为输入信号组,它由INP2-INP0三个二进制代码输入信号组成.OUTP为输出信号组,它由OUTP7-OUTP0八个输出信号组成.OUTP为1时候表示输出.当输入为:111时,译码后为指定的状态,即输出10000000,紧接着依次类推,当输入为:110时,输出输出01000000,当输入为101时,输出00100000,当输入为100时,输出00010000,输入011时,输出

7、为00001000,输入为010时,输出00000100,输入为001时,输出00000010,输入为000时,输出为000000014收获和体会本次课程设计是实现基于VHDL语言设计3-8译码器,这个星期的设计不仅让我巩固了自己的理论知识,而且也使我的动手能力有所提高.在老师布置完设计题目后,我就开始查阅图书馆以及网站的一些资料,考虑到自己对EDA知识的掌握与学习,在确定方案后根据设计任务与要求,完成了本次设计.本次设计使我对所学的知识有了进一步的了解并且从中学习到了很多东西.硬件描述语言打破了硬件和软件设计人员之间互不干涉的界限,可以使用语言的形式来进行数字系统的硬件结构、行为的描述,直接

8、设计数字电路硬件系统.使用语言描述的形式,大大缩短了开发周期,减少了开发难度,并使得系统更加灵活、稳健.利用EDA 软件进行编译优化仿真极大的减少了电路设计时间和可能发生的错误,降低了开发成本,这种设计方法将在未来的数字系统设计中发挥越来越重要的作用.在这次为期一周的课程设计中,通过程序设计以及上机的软件操作使我更加熟悉VHDL语言的设计方法以及QUARTSII软件的应用.课设给我带来不少的收获,我了解VHDL设计的语言使用方法,并进一步熟悉QUARTSII软件的使用和操作方法、波形仿真.提高了将理论应用于实践的能力,同时对我独立思考和解决问题的能力有了很大的锻炼.从课程设计中学到了不少平时上

9、课难以学习的知识,提升了自我钻研、提出问题、发现问题解决问题的能力.在设计的过程中不断培养我对科学的认真,谨慎,耐心等态度,为我以后的学习和工作打下了良好的基础.遇到问题不能害怕,只要坚持自己的理论正确那么结果就应该与理论相符合,要抱着对科学认真的态度,只有养成认真严谨的学习和工作作风才能学到知识.不论是学习或是以后工作,我们都不能忽略细节,坚信凡是问题都会有原因,要认真思考并检查,一步步修整,尽量使设计工作更加完整. 5参考文献1.清华大学电子学教研组编,阎石主编. 数字电字电子技术基础,高等教育出版社,2011年.2.潘松,黄继业编著, EDA技术实用教程-(VHDL版),科学出版社,2011年.3.卢结成,高世忻,陈力生,田红民主编. 电子电路实验及应用课题设计(第二版),合肥:中国科学技术大学出版社,2006.

展开阅读全文
相关资源
正为您匹配相似的精品文档
相关搜索

最新文档


当前位置:首页 > 大杂烩/其它

电脑版 |金锄头文库版权所有
经营许可证:蜀ICP备13022795号 | 川公网安备 51140202000112号