交通灯VHDL课程设计报告.doc

上传人:飞****9 文档编号:136810356 上传时间:2020-07-02 格式:DOC 页数:8 大小:212KB
返回 下载 相关 举报
交通灯VHDL课程设计报告.doc_第1页
第1页 / 共8页
交通灯VHDL课程设计报告.doc_第2页
第2页 / 共8页
交通灯VHDL课程设计报告.doc_第3页
第3页 / 共8页
交通灯VHDL课程设计报告.doc_第4页
第4页 / 共8页
交通灯VHDL课程设计报告.doc_第5页
第5页 / 共8页
点击查看更多>>
资源描述

《交通灯VHDL课程设计报告.doc》由会员分享,可在线阅读,更多相关《交通灯VHDL课程设计报告.doc(8页珍藏版)》请在金锄头文库上搜索。

1、电子设计自动化(EDA)技术课程实训报告题目: 交通信号控制器VHDL设计 姓 名:* * 院 系: 应 用 技 术 学 院 专 业:电子信息工程(仪器仪表)学 号: * 指导教师:徐 正 坤 完成时间: 2010 年 6 月 29 日设计题目交通信号控制器VHDL设计设计要求1、设计一个南北方向为主干道,东西方向为支干道的;2、选择一个标准时钟发生电路,为电路提供一个标准1HZ信号;3、(1)交通灯从绿变红时,有5秒黄灯亮的间隔时间;(2)交通灯红变绿是直接进行的,没有间隔时间;(3)主干道上的绿灯时间为50秒,支干道的绿灯时间为30秒;设计过程(包括:设计方案、上机设计与仿真结果、硬件实验

2、方案及实验结果、收获和体会)1、 选择我们所要制作的课程设计题目;2、 用Quartus 9.0写出交通信号控制器的VHDL源程序代码;3、 将程序代码编译完成后对其波形进行仿真;4、 设置引脚后将程序下载到EP1K10TC100-3主芯片之中;5、 进行硬件测试,检查是否还有错误,并对错误进行研究问题出在哪里,并解决问题,直到该设计的完成。成绩评定指导教师评语课程设计等级目 录1 课程设计题目、内容与要求 4页 1.1 设计题目4页1.2 设计内容4页1.3 具体要求4页2 系统设计 5页2.1 设计思路5页2.2 系统原理5页3 系统实现 5页3.1 VHDL源程序的具体程序和说明5页3.

3、2 交通信号控制器程序中使用到得信号及其对应的管脚7页4 系统仿真 7页5硬件验证(操作)说明7页6总结 8页7 参考书目 8页交通灯控制器周晓重庆三峡学院应用技术学院电子信息工程(仪器仪表)2008级 重庆万州 404000摘 要 基于VHDL的交通灯控制器设计,芯片采用ALTERA公司的ACEX1K 系列的EP1K10TC100-3,使用硬件描述语言 VHDL进行描述,对交通灯进行模块化,在VHDL编程环境Quartus II下编译通过。此报告对该设计的思想原理,详细程序和引脚配置以及波形仿真进行了详细的阐述。关键词: VHDL 模块化 交通灯 设计1 课程设计题目、内容与要求1.1 设计

4、题目交通信号控制器VHDL设计1.2 设计内容交通信号控制器的VHDL源程序;交通信号控制器的仿真波形;交通信号控制器的硬件测试结果。1.3 具体要求设计一个交通信号控制器。交通信号控制器原理框图如下图所示。 模拟场景图:2 系统设计2.1 设计思路选择课程设计题目查资料写出交通信号控制器的VHDL源程序代码对程序进行全程编译时序仿真引脚设置和下载硬件测试2.2 系统原理用VHDL编写程序实现交通信号控制器以下功能:(1)交通灯从绿变红时,有5秒黄灯亮的间隔时间;(2)交通灯红变绿是直接进行的,没有间隔时间;(3)主干道上的绿灯时间为50秒,支干道的绿灯时间为30秒用VHDL编写程序实现交通信

5、号控制器的端口控制信号。其中, clk为标准的1HZ的时钟信号;R为复位信号;SPC为紧急情况信号,负责紧急情况的处理,当紧急情况发生时,南北主干道和东西支干道均显示红灯。该程序定义了4个状态S0,S1,S2,S3。当状态为S0时,南北方向亮绿灯,东西方向亮红灯,50s;当为状态为S1时,南北方向亮黄灯,东西方向亮红,5s ;当状态为S2时,南北方向亮红灯,东西方向绿灯,30s;当状态为S3时,南北方向亮红灯,东西方向黄灯,5s;程序还设计了一个紧急信号情况,当遭遇紧急情况时,主干道和支干道都亮红灯。3 系统实现3.1交通灯控制器 VHDL源程序的具体程序和说明LIBRARY IEEE;USE

6、 IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_UNSIGNED.ALL;USE IEEE.STD_LOGIC_ARITH;ENTITY TRAFFIC2 IS PORT (CLK,R,SPC: IN STD_LOGIC; -时钟、复位、特殊情况 LIGHT: OUT STD_LOGIC_VECTOR(5 DOWNTO 0); -light:G1,R1,Y1,G2,R2,Y2; Q1,Q2 : OUT INTEGER RANGE 0 TO 55); END TRAFFIC2 ;ARCHITECTURE behav OF TRAFFIC2 IS TYPE

7、STATES IS (S0,S1,S2,S3); -定义枚举 SIGNAL STATE : STATES ; SIGNAL T1,T2: INTEGER RANGE 0 TO 55; BEGIN P1: PROCESS (CLK,STATE) BEGIN IF R=1THEN STATE=S0;T1=50;T2=55; -复位 ELSIF SPC=1 THEN LIGHT LIGHT=100010; T1=T1-1;T2=T2-1; Q1=T1;Q2=T2; IF T1=0 THEN STATE=S1;T1=5;T2 LIGHT=001010; T1=T1-1;T2=T2-1; Q1=T1;Q

8、2=T2; IF T1=0 THEN STATE=S2;T1=35;T2 LIGHT=010100; T1=T1-1;T2=T2-1; Q1=T1;Q2=T2; IF T2=0 THEN STATE=S3;T1=5;T2 LIGHT=010001; T1=T1-1;T2=T2-1; Q1=T1;Q2=T2; IF T1=0 THEN STATE=S0;T1=50;T2STATE=S0;T1=50;T2=55;-主干道 END CASE; END IF; END PROCESS;END behav;3.2 交通灯控制器程序中使用到得信号及其对应的管脚4 系统仿真5硬件验证(操作)说明根据之前写定

9、的引脚来进行连线,其中clk连接1Hz的脉冲,R连可控制电平的高低电平信号,实现复位功能。SPC接高低电平信号,实现紧急情况功能。G1接南北道的绿灯,R1接南北道的红灯,Y1街南北道的黄灯。G2接东西道的绿灯,R2接东西道的红灯,Y2街东西道的黄灯。经过对源程序的不断检查和对EP1K10TC100-3主芯片的各个引脚是否能够提供正常工作进行检测,最终将结果调试成功。6总结通过这次实训,我们在程序的功能,源程序修改以及最后的硬件调试波形仿真中取得了更深一步的成就,通过理论结合实际进行不断地修改、讨论。填补了我们在这一方面的不足,当最后结果出来的时候,我们心比蜜甜,通过这次实训,我们在实践中学会了

10、很多在平时的实验中无法学到得东西。将使我们在以后的工作中受益匪浅。7 参考书目1、PLD与数字系统设计 李辉编著 西安电子科技大学出版社,20052、数字电子技术基础(第四版),阎石主编,高教出版社3、候伯亨、顾新VHDL硬件描述语言与数字逻辑电路设计【M】西安电子科技大学出版社,2001-4。4、EDA工程实践技术 付家才 主编 第二版 北京:化学工业出版社.20075、 宋万杰等. CPLD技术及其应用. 西安电子科技大学出版社,2000.6、CPLD/FPGA的开发与应用 徐志军等编著,电子工业出版社,2002-77、VHDL实用教程(修订版) 潘松 王国栋 电子科技大学出版社 2001.7

展开阅读全文
相关资源
相关搜索

当前位置:首页 > 学术论文 > 管理论文

电脑版 |金锄头文库版权所有
经营许可证:蜀ICP备13022795号 | 川公网安备 51140202000112号