UCC28070不完整版[共7页]

上传人:哈**** 文档编号:136773568 上传时间:2020-07-02 格式:DOCX 页数:7 大小:867.12KB
返回 下载 相关 举报
UCC28070不完整版[共7页]_第1页
第1页 / 共7页
UCC28070不完整版[共7页]_第2页
第2页 / 共7页
UCC28070不完整版[共7页]_第3页
第3页 / 共7页
UCC28070不完整版[共7页]_第4页
第4页 / 共7页
UCC28070不完整版[共7页]_第5页
第5页 / 共7页
点击查看更多>>
资源描述

《UCC28070不完整版[共7页]》由会员分享,可在线阅读,更多相关《UCC28070不完整版[共7页](7页珍藏版)》请在金锄头文库上搜索。

1、交织连续导电模式PFC控制器特征交织平均电流型PWM控制与固有的电流匹配先进的电流合成器、电流传感技术有高于一般的效率Highly-Linear乘法器输出与内部量子化的电压前馈对于Near-Unity PF校正可编程频率 (10kHz-300kHz)可编程的最大频宽比夹可编程频率抖动率和强度增强减少EMI-量级:3 kHz至30 kHz-率:高于30 kHz外部时钟同步功能提高负载和线路瞬态响应,通过电压放大器输出Slew-Rate校正可编程的峰值电流限制Bias-Supply UVLO、过电压保护、开环检测和PFC-Enable监控外部PFC-Disable接口开路保护VSENSE VINA

2、C引脚可编程软启动20-Lead TSSOP包应用高效的服务器和台式机供电电信整流器描述UCC28070是一个先进的功率因数校正装置,两个脉宽调节器(PWMs)控制相位相差180。这种交织PWM操作,大量减少输入和输出的纹波电流,和(使)conducted-EMI过滤变得更容易。乘法器的设计中显著改善的是为两个独立的电流放大器提供了一个共享的电流参考,这样确保了两种PWM输出匹配平均电流模式控制,同时保持一个稳定的,低失真的正弦输入线电流。UCC28070包含多个创新的地方,包括电流合成和量子化的电压前馈,促进和增强了功率因素、效率、总谐波失真和瞬态响应等性能。功能,包括频率抖动、时钟同步和转

3、换速率增强和扩展了潜在的性能。UCC28070还包含多种保护功能,包括输出过电压检测,可编程峰值电流限制、欠压锁定和开环保护。简化程序图绝对最大额定参数(1)这些都是压力的限制。压力超出这些限制可能会对设备造成永久性损坏。不暗示着这些是设备的功能操作或任何条件下超过推荐的操作条件。暴露在最大额定条件时间延长可能影响器件的可靠性。(2)所有电压都是关于接地(3)所有电流积极的输入终端,消极的输出终端。(4)在正常使用情况下,终端GDA和GDB是连接到一个外部的门驱动器和内部有限的输出电流。静电放电(ESD)保护耗散评级(1)热阻是一个强大功能的电路板构造和布局。气流减少热阻。这个数字只是一个一般

4、指南(2)热阻计算低k的方法。建议操作条件电特性(1)过度的VCC输入电压和/或电流会损坏设备。这个夹将不会保护设备从一个不受监管的供应。如果不受管制的供应被使用,一个串联固定电压调节器如推荐使用UA78L15A。看到绝对最大额定参数部分VCC电压和电流的限制。电特性(继续)(2)由于同步脉冲宽度的影响的可编程性最大的PWM开关工作周期(D 马克斯),建议最小化同步信号的责任周期。电特性(继续)(3)一级阈值代表了“零交点检测阈值以上VINAC必须发起一个新的输入著, 和下面VINAC必须终止,著重下降。电特性(继续)设备信息终端功能NAMEPIN#I/O描述CDR1I高频脉动率电容器。频率抖

5、动定时引脚,振荡器发抖的一个外部电容接地程序。连接CDR引脚到VREF引脚禁止抖动。RDM(SYNC)2I高频振动级电阻。频率抖动大小和外部同步引脚。振荡器频率抖动的大小由一个外部电阻器接地步骤调节。当频率抖动是禁用的(CDR 5 V), 内部的主时钟将使在RDM引脚提供的积极的边缘同步。当抖动被禁用和同步不能达到要求是连接RDM到GND。VAO3O电压放大器的输出。跨导电压误差放大器的输出。在内部连接到乘数输入和热敏电阻器比较器。在这个引脚与GND之间连接电压调节循环补偿组件。VSENSE4I输出电压检测。内部连接到跨导的反相输入,除了(in addition to)电流合成放大器的正极端子?VINAC5IIMO6ORSYNTH7ICSB8ICSA9IPKLMT10ICAOB11OCAOA12OVREF13OGDA14OVCC15IGND16I/OGDB17OSS18IRT19IDMAX20I

展开阅读全文
相关资源
相关搜索

当前位置:首页 > 商业/管理/HR > 商业计划书

电脑版 |金锄头文库版权所有
经营许可证:蜀ICP备13022795号 | 川公网安备 51140202000112号