一位数据比较器电路的设计-(2)

上传人:go****e 文档编号:134493751 上传时间:2020-06-05 格式:DOCX 页数:10 大小:580.38KB
返回 下载 相关 举报
一位数据比较器电路的设计-(2)_第1页
第1页 / 共10页
一位数据比较器电路的设计-(2)_第2页
第2页 / 共10页
一位数据比较器电路的设计-(2)_第3页
第3页 / 共10页
一位数据比较器电路的设计-(2)_第4页
第4页 / 共10页
一位数据比较器电路的设计-(2)_第5页
第5页 / 共10页
点击查看更多>>
资源描述

《一位数据比较器电路的设计-(2)》由会员分享,可在线阅读,更多相关《一位数据比较器电路的设计-(2)(10页珍藏版)》请在金锄头文库上搜索。

1、新疆大学课 程 设 计 报 告所属院系: 电气工程学院 专 业: 电气工程 课程名称: 电子技术B课程设计 设计题目: 一位数据比较器电路的设计 班 级: 电气 班 学生姓名: 学生学号: 指导老师 : 完成日期: 2014.01.13 2014.01.20 课程设计题目:一位数据比较器电路的设计要求完成的内容:自行设计一位数据比较器电路,可选择与门、或门及与非门电路实现。(1)了解EDA技术的概念、发展及应用。(2)掌握VHDL语言的基础知识,熟悉在数字电路系统设计中VHDL程序设计。(3)学习MAX+PLUS软件的应用方法。(4)应用EDA技术的设计方法完成(采用原理图和文本法两种方法实现

2、),并在MAX+PLUS软件上仿真。(5)需在实验室搭建电路验证并请认真按格式完成课程设计报告。主要收获体会与存在的问题:本次程设计中,介绍了MAX+plusII软件的学习与运用、一位数值比较器74LS85的原理、一位数值比较器的位扩展、电路的的连接、真值表的运用、在EDA实验箱上的操作(包括元器件的选取和材料的查阅)、连线图以及仿真波形等要注意的各种因素。,指导教师评语: 评定成绩为: 指导教师签名: 年 月 日 一位数据比较器的电路设计1设计目的(1) 了解EDA技术的发展及应用 (2) 掌握VHDL语言的基础知识,熟悉在数字电路系统设计中VHDL程序设计 (3) 学习MAX+PLUS软件

3、的应用方法 (4) 应用EDA技术的设计方法完成4位右移移位寄存器的设计(采用原理图和文本法两种方法实现),并在MAX+PLUS上仿真 2. 关于MAX+Plus的使用与仿真 2.1 MAX+plus2软件简介MAX+plusII是Altera公司提供的一个集成化开发系统,该系统界面友好,学习容易,使用简单,功能齐全,是一款流行的EDA开发平台。 MAX+PLUSII把这些设计转自动换成最终所需的格式。其设计速度非常快。对于一般几千门的电路设计,使用MAX+PLUSII,从设计输入到器件编程完毕,用户拿到设计好的逻辑电路,大约只需几小时。设计处理一般在数分钟内完成。特别是在原理图输入等方面,M

4、axplus2被公认为是最易使用,人机界面最友善的PLD开发软件,特别适合初学者使用。EDA (Electronic Design Automation) EDA技术就是依靠功能强大的电子计算机,在EDA 工具软件平台上,对以硬件描述语言HDL为系统逻辑描述手段完成的设计文件,自动地完成逻辑编译、化简、分割、综合、优化、仿真,直至下载到可编程逻辑器件CPLD/FPGA或专用集成电路ASIC芯片中,实现既定的电子电路设计功能。2.2MAX+plus2 使用方法简要说明 MAX+plus2硬件平台的微机最好配置512MB内存、4,3GMB硬盘,可以在Windows XP等操作系统支持下工作。在进行

5、了MAX+plus2的系统安装和系统启动后,对于所要设计和仿真的系统需要进行如下基本步骤:(1)VHDL语言工程文件的建立和编辑;文件的建立:新建文件(file/new/text editor file)、输入文本(text editor)、保存文件(file/save);文件的修改:打开需修改文件(file/open/*.vhd)、修改(text editor)、保存 (file/save);(2)电路图的建立和编辑建立文件打开图形编辑器,选择file/save as建立.gdf文件、输入所需元件及电路模块、编辑端口名称、对各电路块及输入/输出端口进行连线、在设计过程中,可以随时对已进行工作

6、进行保存;(3)VHDL语言程序的编译编译的目的:生成可以进行仿真、定时分析及下载到可编程器件的相关文件。编译的步骤:打开需编译源程序(file/open)、编译(MAX+ plus II/compiler)、为当前文件建立项目(file/project/set project to current file);(4)VHDL语言程序的仿真VHDL程序的仿真过程大致可以分为三个步骤:生成波形文件、仿真、定时分析。3. 基本门电路 CMOS组成的门 本次课程设计需要一些简单的电路,我们开始先介绍简单的电路。本实验中只用到TTL和CMOS组成的与门、非门两种门电路工作原理: 当V(IN)=0V N

7、MOS管截止,PMOS管导通,V(OUT)=VDD=5V当V(IN)=5V PMOS截止,NMOS管导通,V(OUT)=0V真值表AY01104. 数值比较器4.1 一位数值比较器1位数值比较器:比较输入的两个1位二进制数A、B的大小。我们输入信号是两个要进行比较的1位二进制数,现在用A、B表示,输出信号是比较结果三种情况如下(1)A B (A=1 B=0) 则=1,故可以用作为AB的输出信(2)AB(A=0 B=1) 则 , 故可以用作为AB)Y(A=B)Y(AB)00010010011010011010由上述表达式及其真值表我们可知的1位数比较器设计比较成功。1位数比较器逻辑图如下5 数值

8、比较器的VHDL程序及仿真结果5.1 关于1位数值比较器的VHDL程序及仿真 VHDL程序如下 一位数值比较器的逻辑电路图仿真波形如下: 实事接线图心得体会通过这次课程设计,加强了我们动手、思考和解决问题的能力。在这个设计课程开始的时候,我感到很迷茫,不知道该怎么去做这个课程设计。不知道该怎么去开使这个课程设计。最后再看了很多次的课程设计计划书之后终于做了一位数值比较器这个课题。这个课题老师在上课的时候也有讲过。当时听起来感觉害死十分的简单。当我开始这个课题的时候,我有发现了我对这个一位数比较器了解的太少了。在这个课程设计的过程中,我查阅了大量的资料,询问了同学老多问题,才把这个课题了解的比较

9、清楚。经过一个星期的课程设计实习,使得我们经历过了坎坷的路程,其中的经历一言难尽。在这期间我曾经认为课程设计是比较简单的 ,从开始的满是激情,经过了一度忙乱,到最后的汗水背后的复杂心情,这期间的点点滴滴令我十分难忘,回味无穷。我感觉到这才是比较真是,充满活力的生活。生活就是这样,只有付出辛劳的汗水才能得到相应的收获,汗水预示着结果也见证着收获。劳动是人类生存生活永恒不变的话题。充满劳动的人生才更具有意义,才更加的美好。我忍艰苦奋斗这个词非常的适用于我们的这各课程设计,苦中作乐更是体现了他的精髓。我们全体的学生都在课程设计中留下辛勤的汗水。都在为了自己的课程设计更加的完美而不断地查阅资料,不断地

10、改进自己的方案。我们同学之间也在不断地相互帮助,使得我们之间的感情更加的好,更加的想一个大家庭里的兄弟姐妹。我们一起讨论问题一起又说有笑 ,人与人之间的距离更近了。当我们看到自己的成果时,心里十分的激动,十分的兴奋,也明白了很多的道理。我认为有些事情即使再难,再枯燥只要我们尽自己最大的努力也能完成的很好。我们要挑战自己的懒惰心理,执着的坚持下去才能使得我们的工作做得更加的出色。“世上无难事,只要肯登攀”做任何事都是如此。在设计的过程中遇到问题,可以说得是艰难险阻,这毕竟这是第一次接触这些东西,难免会遇到过各种各样的问题,同时在设计的过程中发现了自己的不足之处,对以前所学过的知识理解得不够深刻,掌握得不够牢固。

展开阅读全文
相关资源
相关搜索

当前位置:首页 > 幼儿/小学教育 > 其它小学文档

电脑版 |金锄头文库版权所有
经营许可证:蜀ICP备13022795号 | 川公网安备 51140202000112号