四位二进制减法计数器 (1)

上传人:平*** 文档编号:13410679 上传时间:2017-10-23 格式:DOC 页数:28 大小:1.52MB
返回 下载 相关 举报
四位二进制减法计数器 (1)_第1页
第1页 / 共28页
四位二进制减法计数器 (1)_第2页
第2页 / 共28页
四位二进制减法计数器 (1)_第3页
第3页 / 共28页
四位二进制减法计数器 (1)_第4页
第4页 / 共28页
四位二进制减法计数器 (1)_第5页
第5页 / 共28页
点击查看更多>>
资源描述

《四位二进制减法计数器 (1)》由会员分享,可在线阅读,更多相关《四位二进制减法计数器 (1)(28页珍藏版)》请在金锄头文库上搜索。

1、 1成 绩 评 定 表学生姓名 刘策 班级学号 1303060233专 业 通信工程 课程设计题目 四位二进制减计数评语组长签字:成绩日期 20 年 月 日沈阳理工大学课程设计任务书学 院 信息科学与工程学院 专 业 通信工程学生姓名 刘策 班级学号 1303060233课程设计题目 四位二进制减法计数器(缺 0011 0001 0010)实践教学要求与任务:1、了解数字系统设计方法2、熟悉 VHDL 语言及其仿真环境、下载方法3、熟悉 Multisim 环境4、设计实现四位二进制减法计数器(缺 0011 0001 0010)工作计划与进度安排:第一周 熟悉 Multisim 环境及 Quar

2、tus环境,练习数字系统设计方法,包括采用触发器设计和超高速硬件描述语言设计,体会自上而下、自下而上设计方法的优缺点。第二周 在 Quartus环境中用 VHDL 语言实现四位二进制减法器(缺 0011 0001 0010) ,在仿真器上显示结果波形,并下载到目标芯片上,在实验箱上观察输出结果。在 Multisim 环境中仿真实现四位二进制减法计数器(缺 0011 0001 0010) ,并通过虚拟仪器验证其正确性。指导教师:201 年 月 日专业负责人:201 年 月 日学院教学副院长:201 年 月 日沈阳理工大学摘 要Quartus II 提供了完全集成且与电路结构无关的开发包环境,具有

3、数字逻辑设计的全部特性,应用范围非常广泛,由于数字系统中高低电平分别用 0 和1 表示,数字电路问题可以转化成逻辑问题,可以通过仿真电路表示出来,进行观察和研究,并且可以下载到实验箱上。此次课程设计我将使用 VHDL 设计一个四位二进制减法器。Multisim 是美国国家仪器(NI)有限公司推出的以 Windows 为基础的仿真工具,适用于板级的模拟/数字电路板的设计工作。它包含了电路原理图的图形输入、电路硬件描述语言输入方式,具有丰富的仿真分析能力。在这次课设中我将用它绘制出电路图,进行四位二进制减法器模拟。关键词:Quartus II;VHDL;Multisim ;减法器 沈阳理工大学一、

4、课程设计目的 .1二、设计框图 .1三、实现过程 .21、QUARTUS II 实现过程 .21.1 建立工程 .21.2 编译程序 .71.3 波形仿真 .111.4 引脚锁定与下载 .151.5 仿真结果分析 .162、MULTISIM 实现过程 .162.1 求驱动方程 .162.2 画逻辑电路图 .202.3 逻辑分析仪的仿真 .212.4 结果分析 .21四、总结 .23五、参考书目 .24目录沈阳理工大学- 1 -一、课程设计目的1:了解四位二进制计数器工作原理和逻辑功能。2:掌握计数器电路的分析、设计方法及应用。3:学会正确使用 JK 触发器。二、设计框图状态转换图是描述时序电路

5、的一种方法,具有形象直观的特点,即其把所用触发器的状态转换关系及转换条件用几何图形表示出来,十分清新,便于查看。在本课程设计中,四位二进制减法计数器用四个 CP 上升沿触发的 JK 触发器实现,其中有相应的跳变,即跳过了 0000 0001 0010 三个状态,这在状态转换图中可以清晰地显示出来。具体结构示意框图和状态转换图如下: 100101/ 1/0/0/ 0000B:状态转换图四位二进制减法计数器CP输入减法计数脉冲输出信号A:结构示意框图沈阳理工大学- 2 -三、实现过程1.QUARTUSII 实现过程1.1 建立工程.图 1-1 QUARTUS 软件的启动界面(1)点击 File N

6、ew Project Wizard 创建一个新工程,系统显示如图 5-2。沈阳理工大学- 3 -图 1-2 工程创建向导的启始页(2)点击 Next,为工程选择存储目录、工程名称、顶层实体名等,如图1-3 所示;(3)点击 Next,若目录不存在,系统可能提示创建新目录,如图 1-4 所示,点击“是”按钮创建新目录,系统显示如图 1-5 所示;(4)系统提示是否需要加入文件,在此不添加任何文件;(5) 点击 Next,进入设备选择对话框,如图 1-6,这里选中实验箱的核心芯片 CYCLONE 系列 FPGA 产品 EP1C6Q240C8;(6)点击 Next,系统显示如图 1-7,提示是否需要

7、其他 EDA 工具,这里不选任何其他工具;(7)点击 Next 后,系统提示创建工程的各属性总结,若没有错误,点击Finish,工程创建向导将生成一个工程,这时软件界面如图 1-8,在窗口左侧显示出设备型号和该工程的基本信息等。沈阳理工大学- 4 -图 1-3 输入工程名称、存储目录图 1-4 提示是否创建新文件夹沈阳理工大学- 5 -图 1-5 提示是否添加文件图 1-6 芯片型号选择沈阳理工大学- 6 -图 1-7 提示是否利用其他 EDA 设计工具沈阳理工大学- 7 -图 1-8 工程阐述汇总1.2 编译程序为实现用一个拨码开关控制一个 LED 亮灭的功能,可用 VHDL 编写一个程序实

8、现,具体操作过程如下:(1) 点击 File-New 创建一个设计文件,系统显示如图 1-9;沈阳理工大学- 8 -图 1-9 创建一个设计文件(2) 选择设计文件的类型为 VHDL File;(3) 点击 OK,系统显示如图 1-10,窗口右侧为 VHDL 的编辑窗口。图 1-10 新建的一个 VHDL 源文件的编辑窗口沈阳理工大学- 9 -(4) 在编辑窗口中编辑以下程序:library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity count_1 isport(cp,r:in std_log

9、ic;q:out std_logic_vector(3 downto 0);end count_1;architecture one of count_1 issignal count:std_logic_vector(3 downto 0);beginprocess(cp,r)begin if r=0then countStart Compilation 编译该文件,系统将开始编译,结束后,给出提示信息和编译结果,如图 1-12 所示:图 1-12 编译结果显示沈阳理工大学- 11 -1.3 波形仿真 ( 1 )建立时序仿真文件,如图 1-13 所示,选择“Vector Waveform F

10、ile”,出现图 1-13 的界面,在 Name 空白处击右键,InsertInsert Node or Bus。图 1-13图 1-14在图 1-14 中单击沈阳理工大学- 12 -图 1-15在图 1-15 中单击 ,再单击 OKOK。如图 1-16 所示图 1-16沈阳理工大学- 13 -图 1-17仿真文件存盘时,文件名字必须与顶层文件同名,即 count10,默认即可。沈阳理工大学- 14 -图 1-18图 1-18 是为仿真输入赋值的。如想赋值1 ,单击 。( 2 ) 单击菜单进行仿真图 1-19沈阳理工大学- 15 -仿真结果如图 1-20。图 1-20 仿真波形1.4 引脚锁定

11、与下载左上侧 Assignment 选项中选 Pins,下侧 Location 分配引脚:cp-PIN_28 q3-PIN_114 q2-PIN_115 q2-PIN_116 q1-PIN_117 r-PIN_49。图 1-21沈阳理工大学- 16 -右键点击 ToolsProgrammer,图 1-221.5 仿真结果分析 由仿真波形图可以清楚地看到在一个周期之内,即由大到小,依次完成了四位二进制减法计数的功能。其中由于缺了 0000 0001 0010 三个状态,即缺了十进制数中的 0 1 2 三个数,在波形仿真中,在这几个状态处发生跳变,即由0011 直接跳回到 1111,即完成一个周期的计数,不断循环往复,进行计数。2. Multism 实现过程2.1 求驱动方程相关结构示意框图和状态转换图见上(二)所示步骤。选择四个时钟脉冲下降沿触发的 JK 触发器,因要使用同步电路,所以时钟方程应该为 CPCP3210(1)求状态方程由所示状态图可直接画出如图 2.1 所示电路次态 的卡诺13nQ21n0图,再分解开便可以得到如图 2.2 所示各触发器的卡诺图。沈阳理工大学- 17 -nQ103200 01 11 100

展开阅读全文
相关资源
相关搜索

当前位置:首页 > 行业资料 > 其它行业文档

电脑版 |金锄头文库版权所有
经营许可证:蜀ICP备13022795号 | 川公网安备 51140202000112号