第6章 FPGA仿真工具

上传人:012****78 文档编号:132705983 上传时间:2020-05-19 格式:PPT 页数:101 大小:4.37MB
返回 下载 相关 举报
第6章 FPGA仿真工具_第1页
第1页 / 共101页
第6章 FPGA仿真工具_第2页
第2页 / 共101页
第6章 FPGA仿真工具_第3页
第3页 / 共101页
第6章 FPGA仿真工具_第4页
第4页 / 共101页
第6章 FPGA仿真工具_第5页
第5页 / 共101页
点击查看更多>>
资源描述

《第6章 FPGA仿真工具》由会员分享,可在线阅读,更多相关《第6章 FPGA仿真工具(101页珍藏版)》请在金锄头文库上搜索。

1、第七章FPGA仿真工具 常用的硬件描述语言的仿真器有很多种 例如VCS Ncsim Affirima Verilog XL SpeedWave Finsim和ModelSim等 根据所使用的编程语言的不同可以将这些工具分为Verilog语言仿真器和VHDL语言仿真器 根据工作方式的不同分为事件驱动 Event Driven 的仿真器和时钟驱动 Cycle Driven 的仿真器等 ModelSim仿真器在FPGA CPLD设计中使用得比较广泛 这是因为ModelTechnology公司为各个FPGA CPLD厂家都提供了OEM版本的ModelSim工具 同时ModelTechnology公司也

2、对ModelSim工具进行了大力推广 ModelSim仿真器是基于事件驱动的 它可以用来仿真Verilog语言 也可以用来仿真VHDL语言 同时也支持两种语言的混合仿真 根据设计阶段不同 仿真可以分为RTL行为级仿真 综合后门级功能仿真和时序仿真等三大类型 第一个仿真是RTL行为级仿真 这个阶段的仿真可以用来检查代码中的语法错误以及代码行为的正确性 其中不包括延时信息 第二个仿真是综合后门级功能仿真 绝大多数的综合工具除了可以输出一个标准网表文件以外 还可以输出Verilog或者VHDL网表 第三个仿真是时序仿真 在设计布局布线完成以后可以提供一个时序仿真模型 这种模型中包括了器件的信息 同时

3、还会提供一个SDF时序标注文件 StandardDelayformatTimingAnotation ModelSim仿真工具在默认条件下提供11种不同的用户窗口 主窗口 数据流窗口 列表窗口 存储器窗口 进程窗口 信号窗口 源程序窗口 结构窗口 变量窗口 波形窗口 断言窗口等 ModelSim软件的用户窗口全部是基于Tcl Tk语言编写的 所以可以通过使用Tcl Tk语言来添加各种不同的用户窗口 也可以通过软件自带的一些工具来定制仿真环境 7 1ModelSim仿真窗口 7 1 1主窗口 主窗口是Modelsim的核心窗口 在默认状态下 在启动Modelsim时会自动打开 主窗口分为工作区和

4、脚本区两部分 在工作区 可以很方便地对当前工程的工作库以及所有数据集合进行控制 在脚本区 可以输入Modelsim的各种命令 命令执行后会反馈执行后的信息 使用户了解执行的实际情况 主窗口中的工作区以及命令控制台 脚本区 都是浮动窗口 同时还可以在主窗口中使用 View ActiveProcesses 命令打开一个活动进程窗口 ActiveProcesses 可以通过在主窗口中选择 View Workspace 命令来显示或者隐藏工作区 通过 View ActiveProcesses 命令来显示或者隐藏活动进程窗口 7 1 2数据流窗口 数据流窗口 Dataflow 可以跟踪设计中的物理连接

5、跟踪设计中事件的传播 也可以用来跟踪寄存器 网线和进程等 Navigate 菜单 Expandnettodrivers 显示所选择信号 网线以及寄存器的驱动 Expandnettoreaders 显示所选择信号 网线以及寄存器的输出 Expandnet 显示所选择信号 网线以及寄存器的驱动以及输出 Expandtodesigninputs 显示网线的顶层驱动 一般在testbench或者顶层模块中 Expandtohierarchyinput 显示网线所在层次的一级驱动 Trace 菜单 TraceX 单步跟踪不确定值的上一个驱动 ChaseX 直接跟踪不确定值的源头 Tracenexteve

6、nt 移动 Traceeventset 跳转到输入时间的源头 7 1 3列表窗口 列表窗口使用表格的形式显示仿真的结果 窗口被分为两个可调整的部分 右边为信号列表 左边为仿真运行时间以及仿真的Delta时间 在列表窗口中通过选择 Tools WindowProperties 命令可以弹出 ModifyDisplayProperties list 对话框 使用这个对话框窗口可以对属性设置 WindowProperties 和触发设置 Triggers 进行设置 7 1 4存数器窗口 存储器窗口可以显示设计中存储器的内容 左边为存储器列表 右边为对应的存储器的内容 7 1 5进程窗口 选择Veiw

7、 Active命令后 窗口中显示的是当前工程中的所有进程 选择InRegin命令后 在窗口中显示的是当前层次结构中包含的进程 Ready表示此进程将在当前的很短的一个时间内被执行 Wait表示进程正等待信号的变化 Done表示进程正在执行等待语句 7 1 6信号窗口 当前层次结构中的所有信号名称 信号的值 用户必须在结构窗口中选择确定的层次结构 来获得想要仿真的信号 7 1 7源程序窗口 源程序窗口主要用来显示和编辑HDL源文件代码 7 1 8结构窗口 结构窗口用来显示仿真中的层次信息 选择不同的结构层次 其他窗口中的信息都会发生相应的变化 7 1 9变量窗口 进程中的变量 变量窗口被分为两个

8、不同的部分 左边为当前进程中用到的所有变量 右边为与变量相关的当前值 在变量窗口中可以查看VHDL中的常数 类属 变量以及Verilog中的寄存器和变量等 7 1 10波形窗口 信号波形 信号名称和路径 指针所在位置的值 光标工具 波形查看工具 仿真控制工具 波形窗口中信号模拟化以及光标锁定 7 1 11断言窗口 断言允许设计人员将一些判断语句嵌入到设计中 可以对某些设计的意向行为做成的假设进行说明 传统的硬件调试是假定了错误能够在整个设计当中传播并可以在输出端口捕获到 为了确定错误出现的位置 设计者不得不花费大量的时间来查找问题 而使用断言则能够在错误发生时及时捕获 允许设计人员迅速明确地对

9、错误进行定位 大大简化了纠错的工作 7 1 12信号属性对话框 一 双击波形窗口中的信号名 信号属性对话框 显示的信号名称 波形的颜色 名称的颜色 信号数据的显示方式 信号属性对话框 二 波形显示的格式 7 2ModelSim用户接口 Xilinx公司的ISE集成开发环境中给ModelSim仿真软件预留了接口 通过这个接口可以从ISE集成环境中直接启动ModelSim工具进行仿真 从ISE集成开发环境中直接启动ModelSim仿真工具需要具备3个条件 启动ISE集成开发环境并建立了一个FPGA CPLD的工程项目 添加设计源代码并且编译通过 使用ISE中的TestFixture或者TestBe

10、nchWaveform工具为当前的设计提供一个测试模板 Testbench 并且在测试模板中添加设计激励 属性设置 设置Modelsim可执行文件的路径 7 2 1在ISE中直接启动ModelSim 1 在Windows操作系统中选择 开始 程序 XilinxISE6 ProjectNavigator 命令 启动ISE集成开发环境 2 在ISE主窗口中选择 File OpenExample 命令 打开 OpenExample 对话框 3 在资源管理窗口 SourceinProject 中的模块视图 ModuleView 中选中所用的测试文件 在相应的当前资源操作窗口 ProcessesforC

11、urrentSource 中将会出现与ModelSim仿真器相关的行为仿真 SimulateBehavioralVerilogModel 翻译后仿真 SimulatePost TranslateVerilogModel 映射后仿真 SimulatePost MapVerilogModel 和布局布线后仿真 SimulatePost Place RouteVerilogModel 等4个不同的操作选项 4 双击 SimulateBehavioralModel 操作选项 将启动ModelSim仿真器 5 在ModelSim的主窗口中选择 View All 命令 将显示所有的窗口 7 2 2行为仿真

12、 以一个异步FIFO为例讲述 ISEProjectNavigator 集成环境中与仿真有关的大部分选项的设置 1 启动ProjectNavigator集成开发环境 在主窗口中选择 File Openexamples 命令 打开例子选择窗口 在其中选择 fifo ver 131 工程 单击按钮 打开工程 2 在这个工程中包括了两个顶层文件 fifoctrl cc 和 fifoctrl ic 其中 fifoctrl cc 文件实现了一个同步FIFO fifoctrl ic 文件实现了一个异步FIFO的设计 3 选择顶层文件 fifoctrl ic 这个文件包括了3个子模块 分别为 muxor p

13、xor4 p 和 xor5 p 同时包括了两个功能仿真文件 tb ic func1 tb ic func2 两个时序仿真文件 tb ic time1 和 tb ic time2 选中顶层文件后 可以在 ProcessView 窗口中对一个属性进行配置 4 选择功能仿真文件 tb ic func1 fifocrtl ic tb2 tf 然后在相应的 ProcessView 窗口中选中 SimulateBehavioralModel 仿真的阶段 单击鼠标右键 在弹出菜单中选择 Properties 命令 5 以上操作将打开程序属性对话框 在这个对话框中有两个不同的选项卡 在 SimulationP

14、roperties 中有以下4个不同的选项 UseCustomDoFile CustomDoFile UseAutomaticDoFile SimulationRunTimes DisplaysProperties 选项卡用来设置显示的属性 其中包含以下8个复选框 SignalwindowWavewindowStructurewindowSourcewindowListwindowVariableswindowProcesswindowDataFlowwindow6 选择完成之后 可以进行相应的行为仿真 7 2 3时序仿真 选择时序仿真文件 tb ic time1 fifoctrl ic tb

15、1 timing tf 然后在相应的 ProcessView 窗口中选中 SimulatePost Place RouteVerilogModel 仿真 单击鼠标右键 在弹出菜单中选择 Properties 命令 打开的属性对话框 7 2 4高级设置 在ProjectNavigator集成环境中使用 Edit Preferences 命令打开属性选择窗口 将 Processes 选项卡中的 ProcessSettings 设置为 Advanced 单击 确定 按钮保存之后就可以启动ProjectNavigator高级操作模式 在 SimulationProperties 选项卡中除了包括标准模

16、式下的选项外还增加了如下选项 OtherVSIMCommandLineOptions 输入其他的VSIM命令行参数 多个参数之间使用空格 OtherVLOGCommandLineOptions 输入其他的VLOG命令行参数 多个参数之间使用空格 OtherVCOMCommandLineOptions 输入其他的VCOM命令行参数 多个参数之间使用空格 SimulationResolution 指定仿真器的仿真时间精度 开始仿真后可以使用仿真器的 report 命令检查当前的仿真时间精度 SimulationMode 设置仿真延时模型 使用最大 最小或者典型的延时模型 在默认条件下 SDF中的三类延时都使用最大延时 VHDLSyntax 指定VHDL的语法版本 默认使用93版 在 SimulationModelProperties 标签页面中各个选项说明如下 SimulationModelTarget 指定产生的网表所适用的仿真器 PostPlace RouteSimulationModelName 指定仿真模型名称 RenameTopLevelModuleTo 指定使用NetGen程序

展开阅读全文
相关资源
相关搜索

当前位置:首页 > 高等教育 > 大学课件

电脑版 |金锄头文库版权所有
经营许可证:蜀ICP备13022795号 | 川公网安备 51140202000112号