通信原理设计论文.doc

上传人:bao****ty 文档编号:132316696 上传时间:2020-05-14 格式:DOC 页数:23 大小:286KB
返回 下载 相关 举报
通信原理设计论文.doc_第1页
第1页 / 共23页
通信原理设计论文.doc_第2页
第2页 / 共23页
通信原理设计论文.doc_第3页
第3页 / 共23页
通信原理设计论文.doc_第4页
第4页 / 共23页
通信原理设计论文.doc_第5页
第5页 / 共23页
点击查看更多>>
资源描述

《通信原理设计论文.doc》由会员分享,可在线阅读,更多相关《通信原理设计论文.doc(23页珍藏版)》请在金锄头文库上搜索。

1、基于VHDL语言的汉明码编码器和译码器的设计摘要:数字信号在传输过程中,由于受到干扰的影响,码元波形将变坏。接收端收到后可能发生错误判决。由乘性干扰引起的码间串扰,可以采用均衡的办法纠正,而加性干扰的影响可以采用差错控制的措施了。汉明码就是一种能纠正一位错码且编码效率较高的线性分组码,它能纠正一个错码或检测两个错码。而文章提出了一种基于VHDL语言的汉明码的编码器和译码器的设计与实现。在介绍汉明码编码和译码原理的基础上,给出了基于VHDL语言的实现的源程序,并通过Quartus II 5.1软件进行仿真验证。关键词:m序列,汉明码,编码,译码,VHDL语言Abstract: In the pr

2、ocess of the digital signal transmission, due to the impact of interference, the symbol wave will deteriorate.The receiver may receive an error judgement.If the intersymbol interference is caused by multiplicative ,it can be corrected by the balance measures.And the additive crosstalk can use the er

3、ror control measures. Hamming is a kind of linear block code to correct a wrong code and it is more efficient , and it can correct a wrong number or test two wrong code. The article presents the hamming encoding and decoding of the design and implementation on the base of VHDL language. On the basis

4、 of principle of introducing hamming encoding and decoding, the realization of the language VHDL source is given out.Whats more, it is illustrated through Quartus II 5.1 software.Key words: m sequence,Hamming,encoder,decoder,the VHDL language目 录摘要1第1章 绪论 41.1问题的背景及提出41.2问题的研究及解决 41.3设计研究的目的 4第2章VHDL

5、语言与Quartus II软件简介 6 2.1VHDL语言概述 6 2.2 Quartus II软件概述 6第3章 (7,4)汉明码的编码与译码系统整体设计方案 7 3.1 汉明码的编码与译码系统的设计框图 7 3.2 m序列 73.3 汉明码的编码及译码原理 8第4章 用VHDL语言实现汉明码的编码与译码 11 4.1 用VHDL语言实现m序列的发生 11 4.2 用VHDL语言实现m序列的串并转换 12 4.3 用VHDL语言实现汉明码的编码 13 4.4 用VHDL语言实现汉明码的译码 14 4.5 用VHDL语言实现译码输出的并串转换 15第5章 小结18 5.1 课程设计中遇到的困难

6、及解决方法18 5.2致谢19第6章 附录20第7章 参考文献23第1章 绪论1.1问题的背景及提出 近年来,对高效可靠的数字传输和存储系统的需求日益增长。这种需求随着在商业、政府和军事领域面向数字信息的交换、处理和存储的大规模高速数据网的出现而变得更加迫切。通信系统得主要质量指标是通信的有效性和可靠性。在有噪声和损失存在的信道中,输入符号与接收符号不能一一对应,传输错误和判断错误的情况总会存在。可靠数字传输系统的设计要求通信与计算机技术的融合,系统设计者所关心的一个主要问题就是如何控制差错以使得数据能够可靠重现。 在数字通信系统中,编码器分为两大类:一类是信源编码,另一类是信道编码。信源编码

7、的目的是解决通信的有效性问题。信道编码的目的是解决通信可靠性问题。采用信道编码后,在信息码后增加了监督位,这样就可以增加了码元之间的相互关联,在接收端根据相应的译码规则,就能够察觉传输中有无误码,甚至能自动纠正错误。我们把建立在代数学基础上的编码称为代数码。在代数码中,常见的是线性码。在线性码中信息位和监督位是由一些线性代数方程联系着的。增加了冗余,必然降低了传输效率,却赢得了提高可靠性的好处。那么为了纠正一位错码,在分组码中最少要增加多少监督位才行呢?编码效率能否提高呢?从这种思想出发进行研究,便导致汉明码的诞生。汉明码是一种能够纠正一位错码且编码效率较高的线性分组码。那么怎么实现汉明码的编

8、码与译码呢?本文将介绍基于VHDL语言的汉明码的编码与译码系统,实现汉明码的编码与译码,从而实现传输的差错控制。1.2问题的研究及解决本设计研究基于VHDL语言的汉明码的编码与译码系统。根据汉明码的构成原理,我们需在信息位的后面加上监督位来监督码组中出现错码的位置,这样就方便在接收端对接收到的码组进行纠错以便得到正确的信息位,达到可靠的通信。在本设计中,我们采用m序列循环产生预编码信号,经过汉明码编码系统产生带监督位的线性分组码。发送这些码组,经过信道后这些码组由于受到干扰的影响,某些码元波形会变坏,在接收端经过汉明码译码系统来检验错码及纠正错码,它能纠正一个错码或者检测两个错码。经过译码系统

9、的检错与纠错,接收端就可以接收到正确的码组。1.3设计研究的目的通过运用Quartus II 5.1软件仿真对汉明码的编码译码有更深入的了解和认识,掌握汉明码的构造原理,了解汉明码在实际生活中的应用,掌握差错控制的基本方法,即在发送端的信息码元序列中,以某种确定的编码规则加入一些码元(称为监督码元),使信息序列与监督码元之间具有某种相关性。在不断学习新的知识以及归纳复习老知识的同时,加强自身对通信系统设计的能力。第2章 VHDL语言与Quartus II软件简介2.1 VHDL语言概述 随着信息技术的不断发展,电子技术面临着严峻的挑战,为了跟上快速变化的步伐,电子产品的设计不得不非常快。模拟设

10、计依然是很特殊的职业,数字设计已变得非常依赖于计算机辅助设计也称为设计自动化(DA)或电子设计自动化(EDA)。 电子设计自动化的重要特征就是要求采用形式化语言来描述硬件电子电路的功能,即使用硬件描述语言来描述硬件电子电路。目前,作为硬件描述语言之一的VHDL(Very_High_Speed Integrated Circuit Hardware Description Language)已经成为各家EDA工具和集成电路厂商所普遍认同和共同推广的标准化硬件描述语言。 VHDL语言是一种标准化的硬件描述语言,它自身必然有很多其他硬件描述语言所不具备的优点:(1)VHDL语言功能强大,设计方式多样

11、。(2)VHDL语言具有强大的硬件描述能力。(3)VHDL语言具有很强的移植能力。(4)VHDL语言的设计描述与期间无关。(5)VHDL语言程序易于共享和复用。虽然VHDL语言具有很多其他硬件描述语言所不具备的优点,但是它也并不是一种完全理想的硬件描述语言。同样,它也具有一些自身的缺点,或者说VHDL语言还有一些需要不断完善的地方:(1)VHDL语言有时不能准确地描述硬件电路。(2)VHDL语言的系统级抽象描述能力较差。(3)VHDL语言不能描述模拟电路。 作为一种标准化的硬件描述语言,VHDL语言描述硬件电路时具有一定的流程可以遵循。对于设计人员来说,掌握VHDL语言的开发流程图和开发步骤具

12、有重要的指导作用。2.2 Quartus II软件概述Quartus II是Altera公司在21世纪初推出的CPLD/FPGA集成开发环境,它是该公司前一代CPLD/FPGA集成开发环境MAX+PUS II的更新换代产品。Quartus II提供了一种与结构无关的设计环境,其界面使设计者能方便地进行设计输入、快速处理和器件编程。Quartus II还包含许多十分有用的参数化的模块库,它们是复杂或高级系统构建的重要组成部分。Quartus II加强了网络功能,它具有最新的Internet技术,设计人员可以直接通过Internet获得Altera的技术支持。Quartus II集成开发环境包括:

13、系统级设计、嵌入式软件开发、可编程逻辑器件设计、综合、布局布线、验证和仿真等内容。第3章 (7,4)汉明码的编码与译码系统整体设计方案3.1 汉明码的编码与译码系统的设计框图发送信道汉明码编码系统串并转换3级m序列发生器 图3.1 汉明码编码系统方框图 m序列并串转换正确信息码汉明码译码系统接收码组 图3.2 汉明码译码系统方框图3.2 m序列3.2.1 m序列的产生原理m序列是最长线形反馈移存器序列的简称。它是由带线性反馈的移存器产生的周期最长的序列。先引入本原多项式的概念。若一个n次多项式f(x)满足下列条件:(1) f(x)为既约的;(2) f(x)可整除(xm+1),m=2n-1;(3) f(x)除不尽(xq+1),qm;则称f(x)为本原多项式。一个线性反馈移存器能产生m序列的充要条件为:反馈移存器的特征多项式为本原多项式。 m序列通过线形反馈移位寄存器产生如图:

展开阅读全文
相关资源
正为您匹配相似的精品文档
相关搜索

最新文档


当前位置:首页 > 高等教育 > 其它相关文档

电脑版 |金锄头文库版权所有
经营许可证:蜀ICP备13022795号 | 川公网安备 51140202000112号