信号发生器的FPGA实现(毕业设计)

上传人:xmg****18 文档编号:121223317 上传时间:2020-02-19 格式:DOC 页数:59 大小:3.56MB
返回 下载 相关 举报
信号发生器的FPGA实现(毕业设计)_第1页
第1页 / 共59页
信号发生器的FPGA实现(毕业设计)_第2页
第2页 / 共59页
信号发生器的FPGA实现(毕业设计)_第3页
第3页 / 共59页
信号发生器的FPGA实现(毕业设计)_第4页
第4页 / 共59页
信号发生器的FPGA实现(毕业设计)_第5页
第5页 / 共59页
点击查看更多>>
资源描述

《信号发生器的FPGA实现(毕业设计)》由会员分享,可在线阅读,更多相关《信号发生器的FPGA实现(毕业设计)(59页珍藏版)》请在金锄头文库上搜索。

1、.专业整理.目录摘要IABSTRACTII第1章绪论11.1信号发生器的研究意义与内容11.2信号发生器的发展现状和前景展望11.3信号发生器的总体设计思路3第2章设计简介42.1 FPGA简介42.2 VHDL硬件描述语言介绍62.3 QuartusII软件介绍82.4 RLT级仿真9第3章系统硬件电路设计103.1 信号发生器的系统组成103.2 设计原理113.3 输入部分123.3 D/A数模转换部分14第4章系统的软件设计164.1 系统软件流程图164.2 系统各模块164.2.1 三角波产生模块164.2.2 递减斜坡产生模块194.2.3递增斜坡产生模块214.2.4 方波产生

2、模块234.2.5 阶梯波产生模块254.2.6 正弦波产生模块274.2.7 自定义波形产生模块324.2.8 波形选择器产生模块354.2.9 频率调节器产生模块374.2.10 主控制器产生模块394.3 引脚锁定43第5章信号发生器的仿真455.1递增斜坡的仿真结果及分析455.2 递减斜坡的仿真结果及分析455.3 三角波的仿真结果及分析465.4 正弦波的仿真结果及分析475.5 方波的仿真结果及分析475.6 阶梯波的仿真结果及分析485.6 自定义波形的仿真结果及分析48结束语49参考文献50致谢51 .学习帮手.专业整理.信号发生器的FPGA实现摘要:信号发生器在各种实验和测

3、试中是必不可少的器件,同时在生产和科技领域中有着广泛的运用,例如在通信、控制、雷达、教学、军事等领域。本次设计的内容是信号发生器的FPGA实现,要求用EAD技术设计一个信号发生器,此信号发生器的实现是利用EDA的硬件描述语言VHDL产生各个模块,然后在Altera公司提供的FPGA/CPLD开发集成环境的Quartus II软件上实现波形的编译、仿真、下载到Cyclone芯片上。信号发生器由波形产生模块、频率调节模块和波形选择模块组成,波形产生模块可以产生三角波、正弦波、方波、阶梯波、递增斜坡、递减斜坡、自定义波形等,通过波形选择模块和频率调节模块可以选择自己所需要的波形和调节一定的频率。关键

4、字:信号发生器;FPGA;EDA;VHDL;QuartusFPGA implementation of signal generatorAbstract:The signal generator is a essential device in various experiments and tests, at the same time, it widely used in the production and technology field, such as communications, controlling, radar, teaching, military and other

5、fields. The content of this design is the signal generators implementation of FPGA, it required to design a signal generator with EAD technology, which used EDAs hardware description language VHDL to produce each modules, and then achieved the compilation, simulation and downloads of the waveform to

6、 the Cyclone chip by using Quartus II software on the integrated development environment of FPGA / CPLD which provided bycompany Altera. The signal generator contains the waveform generator module, frequency adjustment module and waveform selection module. The waveform generator module can produce t

7、riangular wave, sine wave, square wave, staircase, ascending slope, descending ramp, custom waveforms, etc., and the waveform selection module and the frequency adjustment module can select the waveform in their needs and adjust the certain frequency.Keywords: Signal Generator; FPGA; EDA; VHDL; Quar

8、tus .学习帮手.第1章 绪论1.1 信号发生器的研究意义与内容信号发生器在系统检测和调试、自动测量和控制、教学实验和测试中是必不可少的一种基本的电子设备,它广泛的应用于通信工程、自动测控、雷达、电子设备以及现代化仪器仪表等多个领域,它可以产生正弦波、递增斜坡、递减斜坡、三角波、锯齿波、方波等多种波形。信号发生器为电子测量工作提供了符合要求的精确的输入电信号和示波器等,几乎涉及电参量测量的都要用到信号发生器。同时信号发生器是一种能够提供各种频率、波形以及输出电平的电信号,经常用来作为测试的信号源或者激励源的设备。综上所述,不论是在生产实践还是在科研与教育上,信号发生器都是电子工程师和电气工程

9、师等信号仿真试验的最佳工具。随着我国经济和科技的迅速发展和进步,对相应的测量仪器也有了更高的要求,信号发生器已经不断成为测量仪器中必不可少的一类,所以对信号发生器的研究具有重大意义。信号发生器的研究内容是利用EDA技术实现信号的产生,EDA技术作为现代电子设计技术的核心,它依赖功能强大的计算机,在EDA工具软件平台上,对以硬件描述语言HDL为系统逻辑描述手段完成的设计文件,自动地完成逻辑化简、逻辑分割、逻辑综合、结构综合,以及逻辑优化和仿真测试等项功能,直至实现既定性能的电子线路系统功能。EDA技术使得设计者的工作几乎仅限于利用软件的方式,即利用硬件描述语言HDL和EDA软件来完成对系统硬件功

10、能的实现。EDA技术在硬件实现方面融合了大规模集成电路制造技术、IC版图设计技术、ASIC测试和封装技术、FPGA和CPLD编程下载技术、自动测试技术等,在计算机辅助工程方面融合了计算机辅助设计(CAD)、计算机辅助制造(CAM)、计算机辅助测试(CAT)、计算机辅助工程(CAE)技术以及多种计算机语言的设计概念。FPGA和CPLD是可编程逻辑件它们的特点是直接面向用户、具有极大的灵活性和通用性,使用方便,硬件测试和实现快捷,开发效率高,成本低,上市时间短,技术维护简单,工作可靠性好等。1.2 信号发生器的发展现状和前景展望信号发生器是一种最悠久的测量仪器,早在20年代电子设备刚出现时它就产生

11、了。随着通讯和雷达技术的发展40年代出现了主要用于测试各种接收机的标准信号发生器,使信号发生器从定性分析的测试仪器发展成定量分析的测量仪器。同时还出现了可用来测量脉冲电路或用作脉冲调制器的脉冲信号发生器。由于早期的信号发生器机械结构比较复杂,功率比较大,电路比较简单,因此发展速度比较慢。直到1964年才出现第一台全晶体管的信号发生。从六十年代开始,信号发生器有了快速的发展,逐渐出现了函数信号发生器,在这个时期的信号发生器以采用模拟电子技术为主,它是由模拟集成电路或者分立元件构成,它的电路结构尤其的复杂,并且它只能够产生三角波、正弦波、矩形波和锯齿波等几种简单基本的波形;因为模拟电路结构的漂移比

12、较大,使得它的输出波形的弧度稳定性较差,而且它的电路存在着尺寸大、功耗大和价格贵等缺点,如果要产生复杂的信号波形,那么它的电路结构尤其的复杂。七十年代出现微处理器以后,利用微处理器、D/A和A/D转换器、硬件和软件组成的信号发生器具有强大的功能和能够产生复杂的波形。这个时期的信号发生器主要以软件为主,它的实质是采用微处理器对DAC的程序进行控制,就可以得到简单的波形。然而软件控制波形有一个最大的缺点就是它的输出波形频率低,这是由于CPU的工作速度决定输出波形的频率,如果想要提高波形的频率可以通过改进软件的程序来减少它的执行周期,或者提CPU的工作时钟周期。但是这些方法都是有限的不能完全解决,根

13、本的办法还是要对硬件电路进行改进。随着计算机和信号处理技术的不断发展,数字化技术在电子测量仪器中的应用也得到了极大的促进,使得原有的模拟信号处理慢慢的被数字信号处理替代,从而不断扩充了信号仪器的处理能力,提高了信号测量的精度和准确度以及变换速度,克服了模拟信号处理的缺点,数字信号发生器也随之逐渐发展起来。现在信号发生器的应用是非常宽阔的,种类也很多。信号发生器可以分为通用和专用的两大类发生器,专用的信号发生器主要是为了测量某些特殊值而研发的,例如电视信号和脉冲编码信号发生器等。如果按其产生的频率方法来分类可以分为谐振法和合成法两类。一般的传统信号发生器就是采用谐振法来产生的,即利用具有频率选择

14、性的回路产生正弦振荡的发生器来获得所需要的频率。如今电子测量技术对于信号发生器的频率精确度和稳定度的要求越来越苛刻,要求在较宽的频率范围之内可以获得较高频率稳定度和精确度的输出信号。对于作为一种精密测量的信号发生器,它的频率准确度一般要达到。所以传统的信号发生器已经不能满足现代电子测量的要求。然而,合成的信号发生器具有频率稳定度高,它的频率准确度可以达到或者更高的水平。它可以输出多种波形,具有广泛和精确的输出电平调整,同时具有较宽的频率范围和更小的输出频率间隔,所以应用前景十分的广阔。1.3 信号发生器的总体设计思路信号发生器的实现方法有很多种,包括利用数字电路小规模多器件组合、单片机、以及基

15、于FPGA的实现方法。本设计采用FPGA芯片实现,与用其他方法相比,FPGA不仅可以输出用户所需要的任意波形,而且还可以通过改变信号发生器和计算机的通信接口来拓展信号端口的地址空间,可以增加数据的位数和提高频率分辨率的精度,以及可以增加信号发生器的输出波形种类等的特性。而且它具有高速的传输速率和可靠性高的现场可编程,同时它能够降低成本和系统工作稳定可靠等优点。信号发生器是由信号产生模块、信号控制模块和D/A转换器模块组成,整个系统是以FPGA为核心,加上必要的外围电路来组成。FPGA主要是用来进行初值和时钟分频等,外围电路主要有波形的选择和频率的控制以及数据转换电路,完成波形的显示信息以及控制波形数据的输出等功能。通过不同的选择波形输出后,经过数码转换,将一个数字信号的波形转换为一个模拟信号的波形。本次设计利用的软件是Quartus II 9.1来进行编程和时序仿真,以及产生RTL和各模块的结构框图。第2章设计简介2.1 FPGA简介目前电子产品已渗透到几乎所有的消费领域,针对不同的市场产品需求,器件的专用性越来越强,而产品的升级

展开阅读全文
相关资源
正为您匹配相似的精品文档
相关搜索

最新文档


当前位置:首页 > 办公文档 > 教学/培训

电脑版 |金锄头文库版权所有
经营许可证:蜀ICP备13022795号 | 川公网安备 51140202000112号