cadence软件安装步骤与说明

上传人:xmg****18 文档编号:120327809 上传时间:2020-02-06 格式:DOC 页数:10 大小:243.37KB
返回 下载 相关 举报
cadence软件安装步骤与说明_第1页
第1页 / 共10页
cadence软件安装步骤与说明_第2页
第2页 / 共10页
cadence软件安装步骤与说明_第3页
第3页 / 共10页
cadence软件安装步骤与说明_第4页
第4页 / 共10页
cadence软件安装步骤与说明_第5页
第5页 / 共10页
点击查看更多>>
资源描述

《cadence软件安装步骤与说明》由会员分享,可在线阅读,更多相关《cadence软件安装步骤与说明(10页珍藏版)》请在金锄头文库上搜索。

1、. . . . .Cadence软件安装破解步骤 文档目录1、安装准备工作.22、软件安装.23、软件破解.44、关于license.45、环境配置.66、环境配置示例.7Cadence公司软件安装步骤大同小异,这里就归类到一起,安装其所有软件均适用。1、安装准备工作: 图形安装工具:iscape.04.11-p004 所要安装的软件包:如IC615等(几乎所有cadence软件的图形安装步骤都一样)。 破解文件:破解文件包括两个文件,以为patch文件,以为pfk文件。 License:Cadence的license比较好找,也好制作。网上很多license,也可以自己制作。2、软件安装:

2、1)、进入iscape.04.11-p004/bin/,运行iscape.sh进入软件安装图形界面,如下图所示。说明:在选择软件安装路径是须注意,如果解压后有多个CDROM文件夹,在该处选择到CDROM1下即可,其他CDROM包会自动加载。2)、继续到以下界面,选中所要安装的软件,然后继续下一步:3)、点击下一步到一下安装界面,进行配置。点击“Start”开始安装。4)、安装到一定完成后会弹出一些关于软件的配置,如OA库位置的设置等,若没有特殊要求更改的可一直回车。配置完成后可关闭图形安装窗口。3、软件破解: 将破解文件复制到软件的安装目录下,运行patch文件跑完即可。但是需要注意的是32b

3、it/64bit的软件破解文件有可能不是同一个patch文件,出现破解不完全。若是这样,会出现只能运行32bit或者64bit的软件,运行另一版本会提示license的错误。在找patch文件的时候需注意patch所适用的软件及版本。4、关于License: 在网上能找到很多license可用,特别是eetop。也可以根据自己制作,在eetop里找到一工具Float_Cadence_LicGen,解压稍修改或者不修改批处理文件都行,再运行批处理文件即可。生成好的license注意修改其前两行,即SERVER和DAEMON行。格式如下:SERVER 计算机主机名 MAC 端口DAEMON cds

4、lmd cdslmd文件路径/bin/cdslmd(注:若没有license管理工具,那么该文件可在软件安装目录下/bin中找到。)例如:我安装IC615的目录为/eda_tools/cadence/IC615,查询计算机信息如图。故:SERVER和DAEMON行应该为(端口可根据需要填写,我这里只是示例):SERVER localhost.localdomain 000c2988ffc6 28000DAEMON cdslmd /eda_tools/cadence/IC615/bin/cdslmd更改好保存即可。5、环境配置: 环境的设定应至少有LM_LICENSE_FILE、path(PAT

5、H)、OA_HOME三个变量。 LM_LICENSE_FILE:若有多个Cadence公司的软件可只设定一个LM_LICENSE_FILE,前提是该license含有这几个软件的功能模块。 path(PATH):其值一般含有三个,一为:安装目录/bin;二为:安装目录/tools/bin; 三为:安装目录/tools/dfII/bin; OA_HOME:若安装了多个Cadence的软件那就会有多个oa库,但是环境里面只认一个库,所以应该用兼容多个软件的oa库,Cadence公司较新的软件在启动时都会读取oa库,若读取时出错则会报相关的错误。其他:若32bit和64bit的均已破解,在选择启动启

6、动32/64bit时可在环境里面CDS_AUTO_32BIT或者CDS_AUTO_64BIT。在配置Virtuoso的环境时建议配置一个CDSHOME的变量,其值为Virtuoso的安装目录。因为在工艺库里面的cds.lib文件需要该变量,若是在环境里面设定了该变量,在启动Virtuoso后可不必自动添加Virtuoso自带的基础库。附部分环境的配置内容: 附1:.bashre的配置export CDS_AUTO_32BIT=ALL#export CDS_AUTO_64BIT=ALLexport LM_LICENSE_FILE=27008192.168.90.33#CADENCE IC615#

7、export CDSDIR=/eda_tools/cadence/IC615export OA_HOME=$CDSDIR/oa_v22.41.004export CDSHOME=$CDSDIRexport CDS_Netlisting_Mode=Analogexport PATH=$ CDSHOME tools/bin:$ CDSHOME /bin:$ CDSHOME/tools/dfII/bin:$PATH#CADENCE ASSURA615#export ASSURAHOME=/eda_tools/cadence/ASSURA615#export OA_HOME=$MMSIM_ROOT/o

8、a_v22.43.003export PATH=$ASSURAHOME/tools/bin:$ASSURAHOME/bin:$ASSURAHOME/tools/dfII/bin:$PATH#CADENCE IUS09.20#export IUSDIR=/eda_tools/cadence/IUS_09.20export PATH=$IUSDIR/bin:$IUSDIR/tools/bin:$IUSDIR/tools/dfII/bin:$PATH#CADENCE MMSIM12.10#export MMSIM_ROOT=/eda_tools/cadence/MMSIM_12.10#export

9、OA_HOME=$MMSIM_ROOT/oa_v22.43.003export PATH=$MMSIM_ROOT/bin:$MMSIM_ROOT/tools/bin:$MMSIM_ROOT/tools/dfII/bin:$MMSIM_ROOT/tools/spectre/bin:$PATH#CADENCE PVS11.12#export PVS_ROOT=/eda_tools/cadence/PVS_11.12#export OA_HOME=$PVS_ROOT/oa_v22.42.001export PATH=$PVS_ROOT/bin:$PVS_ROOT/tools/bin:$PVS_ROO

10、T/tools/dfII/bin:$PATH# CADENCE EDI1012 #export CDSDIR=/eda_tools/cadence/EDI1012export CDS_ROOT=$CDSDIR#export OA_HOME=$CDSDIR/oa_v22.41.011export PATH=$CDSDIR/bin:$CDSDIR/tools /bin:$CDSDIR/tools dfII/bin:$PATH附2:.cshre的配置setenv CDS_AUTO_64BIT ALL#setenv CDS_AUTO_32BIT ALsetenv LM_LICENSE_FILE 290

11、00192.168.90.33# CADENCE IC615 #setenv CDSDIR /eda_tools/cadence/IC615setenv CDS_ROOT $CDSDIRsetenv OA_HOME $CDSDIR/0a_v22.41.004set path=($CDSDIR/bin:$CDSDIR/tools/bin:$CDSDIR/tools/dfII/bin $path)# CADENCE IUS09.20 #setenv IUSDIR /eda_tools/cadence/IUS_09.20#set path=($IUSDIR/bin:$IUSDIR/tools/bin

12、:$IUSDIR/tools/dfII/bin $path)# CADENCE INCISIV1210#setenv INCISIVDIR /eda_tools/cadence/INCISIV_12.10set path=($INCISIVDIR/bin:$INCISIVDIR/tools/bin:$INCISIVDIR/tools/dfII/bin $path)# CADENCE MMSIM1210#setenv MMSIMDIR /eda_tools/cadence/MMSIM_12.10setenv MMSIM_ROOT $MMSIMDIR#setenv OA_HOME $MMSIMDIR/0a_v22.43.003set path=($MMSIMDIR/bin:$MMSIMDIR/tools/bin:$MMSIMDIR/tools/dfII/bin $path)# CADENCE PVS1012 #setenv PVSDIR /eda_tools/cadence/PVS_10.12setenv PVS_ROOT $PVSDIRsetenv OA_HOME $PVSDIR/0a_v22.42.001set path=($PVSDIR/bin:$PVSDIR/tools/bin:$PVSDIR/tools/dfII/bin $path)# CADENCE EDI1111 #

展开阅读全文
相关资源
正为您匹配相似的精品文档
相关搜索

最新文档


当前位置:首页 > 办公文档 > 教学/培训

电脑版 |金锄头文库版权所有
经营许可证:蜀ICP备13022795号 | 川公网安备 51140202000112号