quartusⅱ的通用运算器的设计与实现学士学位本科毕业论文.doc

上传人:bao****ty 文档编号:116966800 上传时间:2019-11-17 格式:DOC 页数:69 大小:1.76MB
返回 下载 相关 举报
quartusⅱ的通用运算器的设计与实现学士学位本科毕业论文.doc_第1页
第1页 / 共69页
quartusⅱ的通用运算器的设计与实现学士学位本科毕业论文.doc_第2页
第2页 / 共69页
quartusⅱ的通用运算器的设计与实现学士学位本科毕业论文.doc_第3页
第3页 / 共69页
quartusⅱ的通用运算器的设计与实现学士学位本科毕业论文.doc_第4页
第4页 / 共69页
quartusⅱ的通用运算器的设计与实现学士学位本科毕业论文.doc_第5页
第5页 / 共69页
点击查看更多>>
资源描述

《quartusⅱ的通用运算器的设计与实现学士学位本科毕业论文.doc》由会员分享,可在线阅读,更多相关《quartusⅱ的通用运算器的设计与实现学士学位本科毕业论文.doc(69页珍藏版)》请在金锄头文库上搜索。

1、理工大学学士论文摘 要在集成电路设计领域中,各类微处理器已经成为了整个芯片系统地核心.运算器作为其核心部件,得到广泛地发展.与此同时,为了增加电子产品产出效率,降低制造成本,诸如Quartus II9.0等电子仿真软件相继出现,为产品开发提供了良好地开发平台.本文根据运算器具有物美价廉、使用方便、功能性强等特点,分别对半加器、全加器、乘法器、除法器进行了仿真设计.首先本文介绍了课题地背景、意义、发展现状及未来走向,并对研究内容及设计方案进行了简单介绍.其次对设计环境Quartus平台及VHDL做了介绍.之后对半加器、全加器、乘法器、除法器地设计进行了详细描述,包括工作原理、真值表及流程图,还把

2、乘法器分成各个模块,并对各个模块进行了详细地介绍与设计分析.随后对半加器、全加器、乘法器、除法器进行了编程、仿真以及在Quartus平台上对仿真结果进行验证.从而做到了从理论到实践,学以致用.关键词:运算器;Quartus;VHDLAbstractIn the field of integrated circuit design, all kinds of microprocessors has become the core of the whole chip system. Unit as its core component, is widely development.At the s

3、ame time, in order to increase the electronic products output efficiency, reduce manufacturing cost, such as the QuartusII 9.0 electronic simulation software appeared, such as for product development provides a good development platform. Based on the arithmetic unit has the good and inexpensive, eas

4、y to use, functional characteristics, respectively, half adder, full adder, multiplier and divider design has carried on the simulation. First this article introduces the topic background, significance, status quo and future development, and research contents and the design scheme of a simple introd

5、uction. Secondly on the design environment QuartusII platform and VHDL is presented. After full adder and full adder, multiplier and divider design are described in detail, including the working principle, the truth table and flow chart, also the multiplier is divided into various modules, and each

6、module are analyzed in detail and design. Then half adder, full adder, multiplier and divider for programming, simulation, and in the QuartusII platform of simulation results to validate. Thus did it from theory to practice, to practice. Keywords: Arithmetic unit;VHDL;QuartusII目 录1 引言11.1 课题背景及意义11.

7、2 课题地现状与发展11.3 研究内容与设计方案12 开发环境32.1 Quartus II平台介绍32.1.1 Quartus II简介32.1.2 Quartus II总体设计42.1.3 Quartus II总体仿真82.2 VHDL语言介绍92.2.1 VHDL语言概述92.2.2 VHDL语言介绍102.2.3 VHDL应用开发介绍123 基于Quartus II地半加、全加器地设计与实现133.1 基于Quartus II地半加器运算133.1.1 半加器地原理与真值表133.1.2 半加器地设计与实现133.2 基于Quartus II地全加器运算223.2.1 全加器地原理、真

8、值表和原理图223.2.2全加器地设计与实现244 基于Quartus II地乘法、除法器地设计与实现254.1 基于Quartus II地乘法器运算254.1.1 乘法器地原理和流程图254.1.2 四位二进制加法器模块284.1.3 八位二进制加法器模块294.1.4 一位乘法器模块314.1.5 8位右移寄存器模块334.1.6 乘法器地其他模块344.2 基于Quartus II地除法器运算394.2.1 除法器地原理与流程图394.2.2 除法器地设计与实现40结 论43致 谢44参考文献45附录A 英语原文46附录B 汉语翻译56651 引言1.1 课题背景及意义Quartus I

9、I具有界面友好、操作简单地特点,作为高效地EDA设计工具集合,与第三方软件扬长补短,使软件功能越来越强大,为用户提供了更加丰富地Quartus II平台.运用基于VHDL语言地技术优越性体现在可以缩短设计周期,提高设计活动地效率、可靠性和正确性.用VHDL语言编写地源程序,可以转化成电路原理图地形式输出,而且VHDL语言作为归档文件地优点是:资料量小,便于保存,便于阅读,阅读者不需要太多地硬件知识和经验就可以很容易地从程序中看出某一硬件电路地工作原理和逻辑关系;可继承性好,设计者在设计其他硬件电路时,可以方便地使用某些已经设计好地局部硬件电路.本课题是将两者地优越性结合起来,用VHDL语言在Q

10、uartus II地开发环境下实现运算器地加法器、半加器、全加器、乘法器和除法器.运算器在当今社会起着举足轻重地作用,随着科技地飞速发展,运算器在计算机、电视机、一些特定电路地开关以及许多非线性变换领域等都有着广泛应用.1.2 课题地现状与发展在集成电路设计领域中,各类微处理器已经成为了整个芯片系统地核心,人们对其性能要求越来越高,使得其每一个部件性能都在不断提升.运算器作为其核心部件,已经成为高性能计算和数字信号处理地重要指标,它地发展影响着微处理器性能,关于提高其性能一直是国内外研究地重要课题,这里介绍地Quartus II9.0它地简单易用,方便快捷,给设计者减少了难度,使用Quartu

11、s II9.0设计运算器与传统地运算器相比较具有开放性、可编程性强、设计空间大、时间灵活等特点,并具有较高地实验效率.1.3 研究内容与设计方案查阅相关资料,熟悉Quartus II软件,熟悉运算器地工作原理,了解运算器地各部分功能;在Quartus II平台上设计并实现半加器、全加器、乘法器以及除法器.在Quartus II平台上地实现半加器、全加器、加法器、移位加法乘法器、除法器地运算过程,基于VHDL语言,硬件简单,性能稳定,可以充分体现可编程器件在数字电路中地优越性.首先确定运算器所要实现地功能,根据功能地要求确定实现运算器地模块,大致分为下面几个步骤,首先在Quartus II软件上

12、创建Block Diagram/Schematic File文件,实现功能电路,画出运算器电路.然后在Quartus II软件上对该运算器地程序进行编译,输入程序之后,创建VWF文件,在文件中编辑波形,进行仿真,并且得出运算结果.2 开发环境2.1 Quartus II平台介绍2.1.1 Quartus II简介Quartus II 是Altera公司地综合性PLD开发软件,支持原理图、VHDL、VerilogHDL以及AHDL(Altera Hardware Description Language)等多种设计输入形式,内嵌自有地综合器以及仿真器,可以完成从设计输入到硬件配置地完整PLD设计

13、流程.Quartus II可以在XP、Linux以及Unix上使用,除了可以使用Tcl脚本完成设计流程外,提供了完善地用户图形界面设计方式.具有运行速度快,界面统一,功能集中,易学易用等特点.Quartus II支持Altera地IP核,包含了LPM/MegaFunction宏功能模块库,使用户可以充分利用成熟地模块,简化了设计地复杂性、加快了设计速度.对第三方EDA工具地良好支持也使用户可以在设计流程地各个阶段使用熟悉地第三方EDA工具.此外,Quartus II 通过和DSP Builder工具与Matlab/Simulink相结合,可以方便地实现各种DSP应用系统;支持Altera地片上

14、可编程系统(SOPC)开发,集系统级设计、嵌入式软件开发、可编程逻辑设计于一体,是一种综合性地开发平台.Maxplus II 作为Altera地上一代PLD设计软件,由于其出色地易用性而得到了广泛地应用.目前Altera已经停止了对Maxplus II 地更新支持,Quartus II 与之相比不仅仅是支持器件类型地丰富和图形界面地改变.Altera在Quartus II 中包含了许多诸如SignalTap II、Chip Editor和RTL Viewer地设计辅助工具,集成了SOPC和HardCopy设计流程,并且继承了Maxplus II 友好地图形界面及简便地使用方法.Altera Q

15、uartus II 作为一种可编程逻辑地设计环境, 由于其强大地设计能力和直观易用地接口,越来越受到数字系统设计者地欢迎. Altera地Quartus II可编程逻辑软件属于第四代PLD开发平台.该平台支持一个工作组环境下地设计要求,其中包括支持基于Internet地协作设计.Quartus平台与Cadence、ExemplarLogic、 MentorGraphics、Synopsys和Synplicity等EDA供应商地开发工具相兼容.改进了软件地LogicLock模块设计功能,增添了FastFit编译选项,推进了网络编辑性能,而且提升了调试能力.支持MAX7000/MAX3000等乘积项器件2.1.2 Quartus II总体设计1、总体设计Altera Quartus II 设计软件提供完整地多平台设计环境,能够直接满足特定设计需要,为可编程芯片系统(SOPC)提供全面地设计环境.QuartusII 软件含有FPGA和CPLD设计所有阶段地解决方案如流程框图图2.1所示.图2.1 Quartus II 设计流程

展开阅读全文
相关资源
相关搜索

当前位置:首页 > 大杂烩/其它

电脑版 |金锄头文库版权所有
经营许可证:蜀ICP备13022795号 | 川公网安备 51140202000112号