基于集成运放的相位差检测电路设计

上传人:我** 文档编号:116016865 上传时间:2019-11-15 格式:DOCX 页数:21 大小:208.94KB
返回 下载 相关 举报
基于集成运放的相位差检测电路设计_第1页
第1页 / 共21页
基于集成运放的相位差检测电路设计_第2页
第2页 / 共21页
基于集成运放的相位差检测电路设计_第3页
第3页 / 共21页
基于集成运放的相位差检测电路设计_第4页
第4页 / 共21页
基于集成运放的相位差检测电路设计_第5页
第5页 / 共21页
点击查看更多>>
资源描述

《基于集成运放的相位差检测电路设计》由会员分享,可在线阅读,更多相关《基于集成运放的相位差检测电路设计(21页珍藏版)》请在金锄头文库上搜索。

1、课程设计名称: 电子技术课程设计 题 目:基于集成运放的相位差检测电路设计 学 期:2016-2017学年第2学期专 业: 班 级: 姓 名: 学 号: 指导教师: 辽宁工程技术大学课 程 设 计 成 绩 评 定 表评定标准评定指标评定标准该项成绩毕业要求指标点2.3正确使用文献分析复杂电气工程问题,并获得有效结论参数计算及单元电路的正确性设计内容的合理性结果评价毕业要求指标3.3掌握电气工程领域的基本创新方法,在设计环节中体现创新意识设计方案的可行性设计的创新性创新意识毕业要求指标:4.3能够选择与使用恰当的现代工程工具和信息技术工具,解决包括预测与模拟在内的复杂电气工程问题,并能够理解其局

2、限性。仿真或实验验证技术指标或性能结果的正确性毕业要求指标:6.3理解工程伦理的核心理念,了解电气工程师的职业性质和责任,在工程实践中能自觉遵守职业道德和规范,具有法律意识设计态度与进度设计报告图表设计内容与文字表达设计报告格式与完整性总得分标准说明:以上13个评定标准,每个评定标准5分,总分65分。得分40分以上视为总成绩合格。总成绩日期年 月 日任务书设计题目基于集成运放的相位差检测电路设计二、设计任务 1实现低频交流电相位差的检测;2 显示测得的相位值。 三、设计计划电子技术课程设计共1周。第1天:方案分析比较,确定设计方案;第2天:单元电路设计,并实现电路仿真;第3天:录入文字第4天:

3、绘制总体电路图;第5天:排版。四、设计要求1.画出整体电路图。2.写出设计说明书。3.实现电路仿真。指 导 教师:时 间:2017年 6月 23日摘要本课程设计主要要求是设计一个基于集成运放的相位差检测电路。整流滤波电路是提供直流电源的。首先,要把信号源进行移相,用到RC移相电路,配合上集成运放,然后同时把移相之前的信号源和移相之后的信号源给两个过零比较器,结果输出的不是高电平就是低电平,完成了对模拟信号转化成数字信号的任务。他们先异或,接着通过和一个来自555定时器的信号进行与逻辑,然后给在和计数器的clk端进行与逻辑,完成对周期长度和计时器的控制,达到采样的目的,最后数码管显示相位差。完成

4、了相位差检测的功能。目录1、综述2、原理及技术指标3、单元电路设计及参数计算 3.1整流滤波电路3.2 RC移相电路3.3 555定时器电路3.4计数器显示部分3.5 参数计算4、仿真5、设计比较6、结论7、设计体会参考文献1 综述振幅、频率和相位是描述正弦交流电的三个“要素”。以电压为例,其函数关系为u=Umsin(t+0) 式中:Um 为电压的振幅;为角频率;0为初相位。设=t+0,称为瞬时相位,它随时间改变,0是t=0时刻的瞬时相位值。两个角频率为1,2的正弦电压分别为u 1=Um1sin(1t +1) u 2=Um2sin(2t +2) 它们的瞬时相位差为=(1t +1)- (2t +

5、2)=(1-2)t+(1-2)显然,两个角频率不相等的正弦电压(或者电流)之间的瞬时相位差是时间t的函数,它随时间改变而改变。当两正弦电压的角频率1=2=时,有=1-2由此可见,两个频率相同的正弦量间的相位差是常数,等于两正弦量的初相位之差。在实际的工作之中,经常需要研究诸如放大器、滤波器等各种器件的频率特性,即输出、输入信号间的幅度比随频率的变化(幅频特性)和输出、输入信号间的相位差随频率的变化关系(相频特性)。尤其在图像信号传输与处理、多元信号的相干特性显得更为重要。相位差的测量是研究网络相频特性中必不可少的重要方面,如何使相位差的测量快速、精确已成为生产科研中重要的研究课题。测量相位差的

6、方法很多,主要有:用示波器测量;把相位差转换为时间间隔,先测量出时间间隔,再换算为相位差;把相位差转换为电压,先测量出电压,再换算为相位差;与标准移相器进行比较的比较法(零示法)等。在测量相位差中主要有四种方法,即用示波器测量相位差、相位差转换为时间间隔进行测量、相位差转换为电压进行测量、零示法测量相位差。2 原理及技术指南图一是相位差检测电路原理图。要测量相位差,就得需要测出两个同相位时间的差值,进而通过转换,最终求出相位差.。所以就需要测出这段时间。在这段时间内有个明显的特点,就是一个为正,一个为负,首先,要把信号源进行移相,用到RC移相电路,配合上集成运放,然后同时把移相之前的信号源和移

7、相之后的信号源给两个过零比较器,结果输出的不是高电平就是低电平,完成了对模拟信号转化成数字信号的任务。接着通过和一个来自555定时器的信号进行与逻辑,然后给在和计数器的clk端进行与逻辑,完成对周期长度和计时器的控制,达到采样的目的,最后数码管显示相位差。完成了相位差检测的功能。过零比较与计数器clk与,显示管显示相位差和555计时器与,控制脉冲宽度 信号1异或门过零比较信号2图1 相位差测量电路原理框图3 单元电路设计及参数计算该电路主要由四个部分组成。3.1 整流滤波电路:该部分选用单向桥式整流及电容滤波电路,由220V交流电压经变压器降压,D3-D6桥式整流滤波电路输出电压12V供芯片回

8、路用。图2,图3是滤波器的组成部分。图2图3闸管是四层三端器件,它有J1、J2、J3三个PN结,可以把它中间的NP分成两部分,构成一个PNP型三极管和一个NPN型三极管的复合管,如图3-3图3-3当晶闸管承受正向阳极电压时,为使晶闸管导通,必须使承受反向电压的PN结J2失去阻挡作用。图2中每个晶体管的集电极电流同时就是另一个晶体管的基极电流。因此,两个互相复合的晶体管电路,当有足够的门极电流Ig流入时,就会形成强烈的正反馈,造成两晶体管饱和导通,晶体管饱和导通。设PNP管和NPN管的集电极电流相应为Ic1和Ic2;发射极电流相应为Ia和Ik;电流放大系数相应为a1=Ic1/Ia和a2=Ic2/

9、Ik,设流过J2结的反相漏电电流为Ic0,晶闸管的阳极电流等于两管的集电极电流和漏电流的总和:Ia=Ic1+Ic2+Ic0 或Ia=a1Ia+a2Ik+Ic0若门极电流为Ig,则晶闸管阴极电流为Ik=Ia+Ig从而可以得出晶闸管阳极电流为:I=(Ic0+Iga2)/(1-(a1+a2)(11)式硅PNP管和硅NPN管相应的电流放大系数a1和a2随其发射极电流的改变而急剧变化如图3所示。当晶闸管承受正向阳极电压,而门极未受电压的情况下,式(11)中,Ig=0,(a1+a2)很小,故晶闸管的阳极电流IaIc0 晶闸管处于正向阻断状态。当晶闸管在正向阳极电压下,从门极G流入电流Ig,由于足够大的Ig

10、流经NPN管的发射结,从而提高其电流放大系数a2,产生足够大的极电极电流Ic2流过PNP管的发射结,并提高了PNP管的电流放大系数a1,产生更大的极电极电流Ic1流经NPN管的发射结。这样强烈的正反馈过程迅速进行。从图3,当a1和a2随发射极电流增加而(a1+a2)1时,式(11)中的分母1-(a1+a2)0,因此提高了晶闸管的阳极电流Ia.这时,流过晶闸管的电流完全由主回路的电压和回路电阻决定。晶闸管已处于正向导通状态。式(11)中,在晶闸管导通后,1-(a1+a2)0,即使此时门极电流Ig=0,晶闸管仍能保持原来的阳极电流Ia而继续导通。晶闸管在导通后,门极已失去作用。在晶闸管导通后,如果

11、不断的减小电源电压或增大回路电阻,使阳极电流Ia减小到维持电流IH以下时,由于a1和a1迅速下降,当1-(a1+a2)0时,晶闸管恢复阻断状态。3.2 RC移相电路: 鉴于电路中的电容和电感均有移相功能,电容的端电压落后于电流900,电感的端电压超前于电流900,这就是电容电感移相的结果。 先说电容移相,电容一通电,电路就给电容充电,一开始瞬间充电的电流为最大值,电压趋于0,随着电容充电量增加,电流渐而变小,电压渐而增加,至电容充电结束时,电容充电电流趋于0,电容端电压为电路的最大值,这样就完成了一个充电周期,如果取电容的端电压作为输出,即可得到一个滞后于电流900的称移相电压;电感因为有自感

12、自动势总是阻碍电路中变量变化的特性,移相情形正好与电容相反,一接通电路,一个周期开始时电感端电压最大,电流最小,一个周期结束时,端电压最小,电流量大,得到的是一个电压超前900的移相效果;这里说滞后或超前900,只是对纯电容纯电感而言,实际应用中是没有纯电容或纯时感的,所以,一个电容或电感的移相效果不可能正好达到滞后或超前900。下面是最简单的RC移相电路。图5 RC移相电路输出电压Uo与输入电压Ui之间的相位差随可调节电阻R的改变而改变。当R由0时,移相电路输入电压Ui和输出电压Uo的移相范围可由上向量图看出是0900。本课程设计中的移相电路是以集成运算放大器、电阻、电容器件,通过合理的组合

13、来实现相位波形的移相电路。电路如图图6所示,图中U4A和U5A是0900的移相放大器,两极移相放大器可以完成01800的移相。第一级由U4A组成的移相滤波电路又被叫作全通滤波器,能通过所有的频率的信号,电路增益幅度为常数,仅相位是频率的函数。图6 移相电路第二级由U5A组成的移相电路与第一级移相电路的原理完全相同。 3.3 555定时器电路:该电路的主要作用是采集信号,当继电器控制的开关断开时,电源随即给电容C充电,没有冲到三分之二VCC之前,555定时器输出高电平。当冲到三分之二VCC时,输出低电平。所以就可以根据这段时间,进行脉冲的采样。连接图如图7所示 . 图7下面介绍下555定时器-2/555定时器的功能主要由两个比较器决定。两个比较器的输出电压控制RS 触发器和放电管的状态。在电源与地之间加上电压,当5脚悬空时,则电压比较器 C1 的同相输入端的电压为2VCC /3,C2的反相输入端的电压为VCC /3。若触发输入端TR的电压小于VCC /3,则比较器C2的输出为0,可使RS触发器置1,使输出端OUT=1。如果阈值输入端TH的电压大于2VCC/3,同时T

展开阅读全文
相关资源
相关搜索

当前位置:首页 > 高等教育 > 大学课件

电脑版 |金锄头文库版权所有
经营许可证:蜀ICP备13022795号 | 川公网安备 51140202000112号